Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > SEMATECH to Show Advances in EUV Lithography at SPIE 2010

Abstract:
Critical issues and potential solutions in preparing extreme ultraviolet lithography (EUVL) for high-volume manufacturing will be explored by SEMATECH technologists at the SPIE Advanced Lithography 2010 conferences Feb. 21-25 in San Jose, CA.

SEMATECH to Show Advances in EUV Lithography at SPIE 2010

Albany, NY and Austin, TX | Posted on February 17th, 2010

The SEMATECH Lithography Program is based at the College of Nanoscale Science and Engineering's (CNSE) Albany NanoTech Complex.

"SEMATECH has had an extraordinary year in lithography, and we plan to demonstrate our successes at SPIE," said Bryan Rice, Lithography Director. "We'll show how we are consistently leading the industry in enabling EUV mask and resist/materials infrastructure as well as EUVL manufacturing feasibility and affordability."

A leading topic will be EUVL mask infrastructure, an area in which SEMATECH has proposed a new industry consortium. Advances in EUV resist development - including SEMATECH's success with its 0.3 numerical aperture (NA) microexposure tools (MET) at CNSE and the University of California at Berkeley - also will be featured. Other SEMATECH papers will cover particle removal and inspection for EUV masks, and metrology techniques for optical defect inspection and double patterning.

SEMATECH and ISMI presentations at SPIE include, by subject, date and time:

EUVL Mask Infrastructure

Wednesday, Feb. 24

· 8:40 a.m.: E-beam correction methodology for compensation of mask nonflatness in EUVL pilot line

· 4:50 p.m.: An inspection and defect review strategy for EUV pilot line and high-volume manufacturing

Resist

Monday, Feb. 22

· 2:50 p.m.: Characterization of promising resist platforms for sub-30-nm HP manufacturability and EUV-CAR extendibility study

· 5:40 p.m. Thin EUV resist and underlayer stacks: correlating Tg, surface polarity, density, and image quality

Tuesday, Feb. 23

· 8:40 a.m.: Development of an inorganic-based photoresist for DUV, EUV, and e-beam imaging

Thursday, Feb. 25

· 10:30 a.m.: The SEMATECH Berkeley MET pushing EUV development beyond 22 nm half pitch

Particle Removal and Mask Inspection

Tuesday, Feb. 23

· 2 p.m.: A study of defects on EUV mask using blank inspection, patterned mask inspection, and wafer inspection

· 3:00 p.m.: Particle removal challenges of EUV patterned masks for the sub-22-nm HP node

Wednesday, Feb. 24

· 6 p.m.: Particle protection capability of SEMI compliant EUV dual pod

Metrology, Inspection, and Process Control

Tuesday, Feb. 23

· 8:40 a.m.: The limits and extensibility of optical patterned defect inspection

· 11:10 a.m.: LER/LWR detection using dark-field spectroscopic methods

· 6 p.m.: CD-SEM utility with double patterning (poster session)

· 6 p.m.: Reconstruct FinFET cross section using CD-SAXS (poster session)

Thursday, Feb. 24

· 8:30 a.m.: Electron-beam-induced photoresist shrinkage influence on 2D profiles[1]

· 2:10 p.m.: Reference material (RM) 8820: a versatile new NIST standard for nanometrology

Other Topics

Wednesday, Feb. 24

· 6 p.m.: Modeling carbonization of extreme-ultraviolet optics

· 6 p.m.: Characterization of contamination on the illumination optics of the SEMATECH extreme-ultraviolet micro-field exposure tool

####

About SEMATECH
For 20 years, SEMATECH®, the international consortium of leading semiconductor manufacturers, has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.

For more information, please click here

Contacts:
Anne Englander
512 356-7155

Copyright © SEMATECH

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Simulating magnetization in a Heisenberg quantum spin chain April 5th, 2024

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Events/Classes

Researchers demonstrate co-propagation of quantum and classical signals: Study shows that quantum encryption can be implemented in existing fiber networks January 20th, 2023

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

June Conference in Grenoble, France, to Explore Pathways to 6G Applications, Including ‘Internet of Senses’, Sustainability, Extended Reality & Digital Twin of Physical World: Organized by CEA-Leti, the Joint EuCNC and 6G Summit Sees Telecom Sector as an ‘Enabler for a Sustainabl June 1st, 2022

How a physicist aims to reduce the noise in quantum computing: NAU assistant professor Ryan Behunin received an NSF CAREER grant to study how to reduce the noise produced in the process of quantum computing, which will make it better and more practical April 1st, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project