Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > SEMATECH to Collaborate with TOK at New Resist Materials and Development Center at UAlbany NanoCollege

Abstract:
SEMATECH, a global consortium of chipmakers, and Tokyo Ohka Kogyo Co., Ltd. (TOK), a leading manufacturer of photoresists, announced today that TOK has joined SEMATECH's Resist Materials and Development Center (RMDC) at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany.

SEMATECH to Collaborate with TOK at New Resist Materials and Development Center at UAlbany NanoCollege

Albany, NY | Posted on April 29th, 2009

The RMDC's mission is to develop resist and materials for 22 nm patterning technologies and beyond, and consists of both extreme ultraviolet (EUV) exposure capability and a portfolio of sponsored university research programs. TOK will team with researchers at SEMATECH to develop and demonstrate EUV materials and resists for use at the 22 nm node and beyond.

"We are pleased to welcome TOK as a member, and we are confident this collaboration will help us build upon the excellent work that SEMATECH's lithography researchers have undertaken to drive the development of resist and materials that are critical for continued progress in manufacturing," said John Warlaumont, vice president of advanced technologies at SEMATECH. "This new partnership is the latest example of SEMATECH's ongoing effort to create flexible participation options that will enable broader and deeper partnerships for advanced materials development."

"The critical effort to develop and commercialize EUVL technology for the manufacturing of future nanoelectronics devices will be enhanced by the addition of Tokyo Ohka Kogyo to SEMATECH's Resist Materials and Development Center at CNSE's Albany NanoTech," said Richard Brilla, CNSE Vice President for Strategy, Alliances and Consortia. "This new partnership will enable additional cutting-edge capabilities at the UAlbany NanoCollege, building on the global leadership of New York State in nanotechnology education, research and development, and economic outreach."

At the RMDC, leading resist and materials suppliers have access to SEMATECH's two micro-exposure tools (METs) located at the University at Albany's College of Nanoscale Science and Engineering and University of California at Berkeley, and can participate in focused, cooperative R&D with SEMATECH member companies. They also have access to the several metrology tools located in SEMATECH's RMDC.

"The RMDC brings together the critical capabilities needed to enable manufacturable EUV," said Bryan Rice, director of Lithography at SEMATECH. "Partnering with resist suppliers such as TOK will accelerate EUV resist development and, in turn, will support timely EUVL introduction."



About TOK:

Tokyo Ohka Kogyo Co.,Ltd is a leading manufacturer of photoresists, focusing on semiconductor photoresists and photoresist, ancillary chemical l, as well as LCD photoresists, dielectric materials, and processing equipment for semiconductors and LCDs. TOK, as it is commonly referred to in the industry (www.tok.co.jp), also has subsidiaries in the United States, Europe, and several Asian countries.

####

About SEMATECH
For 20 years, SEMATECH® (www.sematech.org) has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.

About CNSE:

The UAlbany CNSE is the first college in the world dedicated to research, development, education, and deployment in the emerging disciplines of nanoscience, nanoengineering, nanobioscience, and nanoeconomics. In May 2007, it was ranked as the world's number one college for nanotechnology and microtechnology in the Annual College Ranking by Small Times magazine. CNSE's Albany NanoTech Complex is the most advanced research enterprise of its kind at any university in the world: a $4.5 billion, 800,000-square-foot megaplex that attracts corporate partners from around the world and offers students a one-of-a-kind academic experience. The UAlbany NanoCollege houses the only fully-integrated, 300mm wafer, computer chip pilot prototyping and demonstration line within 80,000 square feet of Class 1 capable cleanrooms. More than 2,000 scientists, researchers, engineers, students, and faculty work on site at CNSE's Albany NanoTech, from companies including IBM, AMD, SEMATECH, Toshiba, ASML, Applied Materials, Tokyo Electron, Vistec Lithography and Atotech. For more information, visit www.cnse.albany.edu/.

For more information, please click here

Contacts:
Erica McGill
SEMATECH | Media Relations
257 Fuller Road | Suite 2200 | Albany, NY | 12203
o: 518-649-1041 | m: 518-487-8256

Copyright © SEMATECH

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

New organic molecule shatters phosphorescence efficiency records and paves way for rare metal-free applications July 5th, 2024

Single atoms show their true color July 5th, 2024

New method cracked for high-capacity, secure quantum communication July 5th, 2024

Searching for dark matter with the coldest quantum detectors in the world July 5th, 2024

Chip Technology

A 2D device for quantum cooling:EPFL engineers have created a device that can efficiently convert heat into electrical voltage at temperatures lower than that of outer space. The innovation could help overcome a significant obstacle to the advancement of quantum computing technol July 5th, 2024

New method cracked for high-capacity, secure quantum communication July 5th, 2024

Diamond glitter: A play of colors with artificial DNA crystals May 17th, 2024

Oscillating paramagnetic Meissner effect and Berezinskii-Kosterlitz-Thouless transition in cuprate superconductor May 17th, 2024

Announcements

New organic molecule shatters phosphorescence efficiency records and paves way for rare metal-free applications July 5th, 2024

Single atoms show their true color July 5th, 2024

New method cracked for high-capacity, secure quantum communication July 5th, 2024

Searching for dark matter with the coldest quantum detectors in the world July 5th, 2024

Tools

Single atoms show their true color July 5th, 2024

Atomic force microscopy in 3D July 5th, 2024

Hitachi’s holography electron microscope attains unprecedented resolution:Image acquisition and defocusing correction techniques enable observations of atomic-scale magnetic fields at never-before-seen resolution July 5th, 2024

First direct imaging of small noble gas clusters at room temperature: Novel opportunities in quantum technology and condensed matter physics opened by noble gas atoms confined between graphene layers January 12th, 2024

Alliances/Trade associations/Partnerships/Distributorships

Manchester graphene spin-out signs $1billion game-changing deal to help tackle global sustainability challenges: Landmark deal for the commercialisation of graphene April 14th, 2023

Chicago Quantum Exchange welcomes six new partners highlighting quantum technology solutions, from Chicago and beyond September 23rd, 2022

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

University of Illinois Chicago joins Brookhaven Lab's Quantum Center June 10th, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project