Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > Solutions Emerging for Wafer Cleaning at 45 nm and Beyond, SEMATECH Conference Finds

Abstract:
Potential solutions are starting to emerge for preparing wafers for manufacturing at and beyond the 45 nm technology generation, technologists indicated at a recent industry meeting organized by SEMATECH.

Solutions Emerging for Wafer Cleaning at 45 nm and Beyond, SEMATECH Conference Finds

Austin, TX | Posted on May 17th, 2007

The 2007 Surface Preparation and Cleaning Conference, held here in late April, reported several techniques for non-damaging particle removal from wafer surfaces, along with multiple methods for removing photoresist with minimal silicon and oxide loss.

These and other conference presentations were aimed at acquainting equipment companies and chip-makers with the latest innovations in wafer and mask-cleaning technologies, in an effort to surmount the related challenges posed at 45 nm (and for subsequent generations for some advanced manufacturers) by the International Technology Roadmap for Semiconductors (ITRS).

"The 45 nm generation is coming up fast - and some chip-makers are there already - and many of the manufacturing issues are connected to surface preparation and cleaning," said SEMATECH's Joel Barnett, conference chair. "The conference made it clear that many of our chemistries and approaches will have to change, but that plenty of potential solutions are being considered for 45 nm and beyond."

For example, 12 presentations were offered on non-damaging nanoparticle removal, including:

* Shock tube-enhanced laser-induced plasma (LIP) shockwaves for sub-50 nm nanoparticle removal, from Clarkson University. This approach confines LIP beams to specially engineered "shock tubes" to increase the cleaning power of shock waves.
* Plasma-assisted cleaning by electrostatics (PACE), offered by the University of Illinois at Urbana-Champaign. This technology utilizes broad-area plasma to provide a negative charge to contamination, allowing it to be repelled electrostatically.
* An ionized molecular-activated coherent solution, proposed by Nano Green Technology, Inc. This method uses a charged solution of ammonia in water to form clusters that attract particles at the molecular level, without damaging the wafer surface.
* Parametric nanoscale cleaning from Lancetta, Inc., which suggested a technology that removes particles by forming nanoscale bubbles to absorb the contaminants.

On photoresist issues, seven papers offered new or enhanced methods for minimizing silicon and oxide loss during removal. These included photoreactive cleaning from UVTech Systems; a CO2 cryogenic press and non-oxidizing chemistry from DuPont Electronic Technologies, EKC Technology, and BOC Eco-Snow Systems; and methodologies for all-wet chemistries from FSI International and SEZ Group.

Earlier in the conference, keynote speaker Jadgish Prasad of AMI Semiconductor urged engineers to consider the requirements of surface cleaning when designing future generations of microchip circuits. Design dominates how wet processing is done, and processing limitations in turn influence the design process, he said.

Reflecting a chip-maker's perspective, Prasad emphasized the critical influence of surface preparation on yield and reliability. "Sixty percent of fab-related (yield) problems are related to cleans, and another 12 percent to etching steps," he said. Prasaid predicted that manufacturers will need to adopt new etch chemistries and cleaning regimens for the 45 nm generation and beyond.

High-k materials and metals gates also are slated for introduction at 45 nm. Although this year's conference did not address these approaches, SEMATECH has published numerous papers detailing high-k related surface preparation processes and continues to develop processes related to dual metal gate and higher-k gate processes.

Capping the meeting was a panel of industry experts who analyzed the key challenges of surface preparation at 45 nm. Their comments, captured in a webcast by Semiconductor International, pointed to the need for significantly different technologies in the field ( see http://www.reed-electronics.com/semiconductor/article/CA6426899 ).

"Our purpose in offering the conference is to help prepare our audience for the cleaning demands of 45 nm technology," Barnett said. "Our speakers gave them plenty of leading-edge data to meet that test."

####

About SEMATECH
For 20 years, SEMATECH® ( http://www.sematech.org ) has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.

For more information, please click here

Contacts:
SEMATECH, Austin
Dan McGowan
512-356-3440

Copyright © Business Wire 2007

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Events/Classes

Researchers demonstrate co-propagation of quantum and classical signals: Study shows that quantum encryption can be implemented in existing fiber networks January 20th, 2023

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

June Conference in Grenoble, France, to Explore Pathways to 6G Applications, Including ‘Internet of Senses’, Sustainability, Extended Reality & Digital Twin of Physical World: Organized by CEA-Leti, the Joint EuCNC and 6G Summit Sees Telecom Sector as an ‘Enabler for a Sustainabl June 1st, 2022

How a physicist aims to reduce the noise in quantum computing: NAU assistant professor Ryan Behunin received an NSF CAREER grant to study how to reduce the noise produced in the process of quantum computing, which will make it better and more practical April 1st, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project