Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > Imec and ASML sign long-term collaboration to deliver the most advanced litho technology to the global semiconductor industry

ASML preproduction scanner NXE:3100 for extreme UV lithography, installed at imec’s 300mm cleanroom.
ASML preproduction scanner NXE:3100 for extreme UV lithography, installed at imec’s 300mm cleanroom.

Abstract:
Imec has signed a new agreement with ASML for a period of 5 years (2011-2015). The agreement enables imec and the world's leading semiconductor players which are part of imec's advanced IC technology scaling program, to stay at the forefront of next generation technologies. The availability of the most advanced infrastructure is crucial to stay ahead in an equipment intensive business such as the semiconductor industry. Imec and ASML's continued collaboration guarantees the global semiconductor ecosystem to work on the most advanced technologies and tools in a stable and proven environment.

Imec and ASML sign long-term collaboration to deliver the most advanced litho technology to the global semiconductor industry

Leuven, Belgium | Posted on October 10th, 2011

Already in November 2011, ASML will install its state-of-the-art 193nm immersion litho tool, the NXT1950i system. Imec will also be able to further accelerate its world-renowned work on EUV lithography with the installation of the production-ready EUV litho system NXE:3300B, the successor of ASML's NXE:3100 preproduction tool that has been installed at imec in Spring 2011. Moreover, the agreement involves the suite of ASML's computational lithography tools and the advanced metrology platform ASML Yieldstar S200.

The close interaction in imec's world-leading semiconductor ecosystem, provides ASML - from its future industry clients - crucial feedback on essential specifications to optimize their next-generation lithography tools.

Building on 25 years of experience, and by combining the most advanced lithography tools and skills from imec, ASML, Carl Zeiss and imec's global semiconductor ecosystem, we now represent the largest litho expertise centre in the world.

"Our more than 25 years collaboration has proven to be a true win-win situation. Imec has been a development center for ASML to test the stability of and optimize its most advanced tools in a reliable environment together with the entire semiconductor ecosystem;" said Luc Van den hove, President and CEO of imec. "This agreement is a confirmation of our long-term strategic and fruitful partnership."

"ASML's close partnership with imec has given our joint customers early insights and learning into the capabilities of new chip manufacturing solutions, paving the way for their technology leadership and commercial success. We're pleased to commit to the next level of collaboration as we transition to EUV technology and so enter the next decade of shrink technologies," said Martin van den Brink, ASML's Chief Products and Technology Officer.

####

About IMEC
Imec performs world-leading research in nanoelectronics. Imec leverages its scientific knowledge with the innovative power of its global partnerships in ICT, healthcare and energy. Imec delivers industry-relevant technology solutions. In a unique high-tech environment, its international top talent is committed to providing the building blocks for a better life in a sustainable society. Imec is headquartered in Leuven, Belgium, and has offices in Belgium, the Netherlands, Taiwan, US, China, India and Japan. Its staff of about 1,900 people includes more than 500 industrial residents and guest researchers. In 2010, imec's revenue (P&L) was 285 million euro. Further information on imec can be found at www.imec.be.
Imec is a registered trademark for the activities of IMEC International (a legal entity set up under Belgian law as a "stichting van openbaar nut”), imec Belgium (IMEC vzw supported by the Flemish Government), imec the Netherlands (Stichting IMEC Nederland, part of Holst Centre which is supported by the Dutch Government), imec Taiwan (IMEC Taiwan Co.) and imec China (IMEC Microelectronics (Shangai) Co. Ltd.) and imec India (Imec India Private Limited).

For more information, please click here

Contacts:
Hanne Degans
External Communications Officer
T: +32 16 28 17 69
M: +32 486 065 175


Barbara Kalkis
Maestro Marketing & PR
T : +1 408 996 9975

Copyright © IMEC

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Simulating magnetization in a Heisenberg quantum spin chain April 5th, 2024

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Nanoelectronics

Interdisciplinary: Rice team tackles the future of semiconductors Multiferroics could be the key to ultralow-energy computing October 6th, 2023

Key element for a scalable quantum computer: Physicists from Forschungszentrum Jülich and RWTH Aachen University demonstrate electron transport on a quantum chip September 23rd, 2022

Reduced power consumption in semiconductor devices September 23rd, 2022

Atomic level deposition to extend Moore’s law and beyond July 15th, 2022

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Tools

First direct imaging of small noble gas clusters at room temperature: Novel opportunities in quantum technology and condensed matter physics opened by noble gas atoms confined between graphene layers January 12th, 2024

New laser setup probes metamaterial structures with ultrafast pulses: The technique could speed up the development of acoustic lenses, impact-resistant films, and other futuristic materials November 17th, 2023

Ferroelectrically modulate the Fermi level of graphene oxide to enhance SERS response November 3rd, 2023

The USTC realizes In situ electron paramagnetic resonance spectroscopy using single nanodiamond sensors November 3rd, 2023

New-Contracts/Sales/Customers

Bruker Light-Sheet Microscopes at Major Comprehensive Cancer Center: New Advanced Imaging Center Powered by Two MuVi and LCS SPIM Microscopes March 25th, 2021

Arrowhead Pharmaceuticals Announces Closing of Agreement with Takeda November 27th, 2020

Veeco Announces Aledia Order of 300mm MOCVD Equipment for microLED Displays: Propel™ Platform First 300mm System with EFEM Designed for Advanced Display Applications October 20th, 2020

GREENWAVES TECHNOLOGIES Announces Next Generation GAP9 Hearables Platform Using GLOBALFOUNDRIES 22FDX Solution October 16th, 2020

Printing/Lithography/Inkjet/Inks/Bio-printing/Dyes

Presenting: Ultrasound-based printing of 3D materials—potentially inside the body December 8th, 2023

Simple ballpoint pen can write custom LEDs August 11th, 2023

Disposable electronics on a simple sheet of paper October 7th, 2022

Newly developed technique to improve quantum dots color conversion performance: Researchers created perovskite quantum dot microarrays to achieve better results in full-color light-emitting devices and expand potential applications June 10th, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project