Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > FEI Company Joins SEMATECH on Metrology Research at UAlbany NanoCollege

Abstract:
Collaboration to address inline elemental analysis of defects for the 45nm node and beyond

FEI Company Joins SEMATECH on Metrology Research at UAlbany NanoCollege

Albany, NY and Hillsboro, OR | Posted on July 14th, 2009

FEI Company, (NASDAQ: FEIC), a leading provider of atomic-scale imaging and analysis systems, and
SEMATECH, the global consortium of chipmakers, announced today that FEI Company has joined SEMATECH's Advanced Metrology Development Program at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany. The collaboration will expand on current joint efforts for the development of novel technologies to enable improved process control and yield.

As a member of this program, FEI will collaborate with experts in SEMATECH's Metrology divisions to develop high-resolution capabilities of transmission electron microscopy (TEM) analysis, with electron energy loss spectroscopy (EELS) and focused ion beam (FIB) technology to address critical needs in process development and defect analysis. These tools will provide the high resolution imaging and compositional data on the scale of a few nanometers, which is invaluable for defect analysis.

"The Advanced Development Metrology Program is a prime example of SEMATECH's collaborative model in which leading equipment and materials manufacturers can participate in a broader consortium-university-industry partnership to develop cutting-edge metrology and characterization techniques," said John Warlaumont, SEMATECH vice president of technology. "The collaborative effort among world-class researchers and engineers from FEI, SEMATECH and CNSE, along with access to critical laboratory analytical equipment available within CNSE, form an important cornerstone in providing world-leading advanced metrology capabilities to our members."

"FEI is proud to supply SEMATECH with our highly advanced wafer-to-TEM data equipment suite, which will help them maximize the volume of high resolution imaging and analytical data output for next generation semiconductor devices," said Rudy Kellner, vice president & general manager of FEI's
Electronics Division. "Utilizing the automated, high-throughput CLM+™ TEM sample preparation solution, combined with FEI's TEMLink lamella lift out system, SEMATECH will be able to produce a steady supply of high quality TEM lamella for its Titan TEM. Equipped with the new MultiLoader double-tilt sample holder, the Titan TEM achieves a level of unprecedented connectivity across system platforms enabling secure, reliable, and traceable sample transfer."

Analytical TEM has historically been used for basic research in advanced materials development. However, as electronic devices approach the nanometer scale, defects consisting of even a few atoms become critical. The combination of both TEM and EELS is uniquely powerful in that it provides detailed information about physical structure, atomic arrangement, chemical
bonding, density, and electronic behavior on a nanometer scale. The result is a much more complete profile of each material than would have been possible with a smaller set of techniques.

"The integration of FEI's TEM with EELS is a leading candidate to replace SEM- based EDX for inline elemental analysis of defects for the 45nm node and below," said Brad Thiel, CNSE Associate Professor of Nanoscience and Director of SEMATECH's Advanced Metrology Development Program at the UAlbany NanoCollege. "We welcome FEI's membership, and look forward to their participation as we work together to drive the development of processes, materials, and characterization technologies that are critical for continued progress and leadership in nanoscale manufacturing."

"We are pleased to welcome FEI to the growing roster of industry-leading companies engaged in cutting-edge nanoelectronics research and development at the UAlbany NanoCollege," said Richard Brilla, vice president for strategy, alliances and consortia at CNSE. "This collaborative relationship with FEI further demonstrates the success of the SEMATECH-CNSE partnership in accelerating nanoscale innovations, supporting pioneering education, and fostering high-tech economic growth, all of which underscore New York's recognition as a global leader in nanotechnology."

Integration of new materials into semiconductor devices requires advanced analytical characterization techniques such as the high-resolution imaging capabilities afforded by TEM. FIB technology is uniquely suited for preparing ultrathin TEM samples from even the smallest device features.

SEMATECH's Advanced Metrology Development Program at the UAlbany NanoCollege is assessing and developing new characterization technologies that will address current and projected metrology gaps. "Our goal is to develop characterization techniques and methodologies to address a range of issues, including the metrology for films, defects, and 3D structures," said Thiel.

####

About SEMATECH
For over 20 years, SEMATECH® (www.sematech.org) has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.

About FEI

FEI (Nasdaq: FEIC) is a leading diversified scientific instruments company. It is a premier provider of electron and ion-beam microscopes and tools for nanoscale applications across many industries: industrial and academic materials research, life sciences, semiconductors, data storage, natural resources and more. With a 60-year history of technological innovation and leadership, FEI has set the performance standard in transmission electron microscopes (TEM), scanning electron microscopes (SEM) and DualBeams™, which combine a SEM with a focused ion beam (FIB). FEI’s imaging systems provide 3D characterization, analysis and modification/prototyping with resolutions down to the sub-Ångström (one-tenth of a nanometer) level. FEI’s NanoPorts in North America, Europe and Asia provide centers of technical excellence where its world-class community of customers and specialists collaborate. FEI has approximately 1800 employees and sales and service operations in more than 50 countries around the world.

About CNSE

The UAlbany CNSE is the first college in the world dedicated to education, research, development, and deployment in the emerging disciplines of nanoscience, nanoengineering, nanobioscience, and nanoeconomics. CNSE’s Albany NanoTech Complex is the most advanced research enterprise of its kind at any university in the world. With over $5 billion in high-tech investments, the 800,000-square-foot complex attracts corporate partners from around the world and offers students a one-of-a-kind academic experience. The UAlbany NanoCollege houses the only fully-integrated, 300mm wafer, computer chip pilot prototyping and demonstration line within 80,000 square feet of Class 1 capable cleanrooms. More than 2,500 scientists, researchers, engineers, students, and faculty work on site at CNSE’s Albany NanoTech, from companies including IBM, AMD, GlobalFoundries, SEMATECH, Toshiba, Applied Materials, Tokyo Electron, ASML, Vistec Lithography and Atotech. For more information, visit www.cnse.albany.edu.

For more information, please click here

Contacts:
SEMATECH Media Contact:

Erica McGill
518-956-7446


CNSE Media Contact
Steve Janack
518-956-7322


FEI Contacts
Sandy Fewkes, Principal (media)
MindWrite Communications, Inc
+1 408 224 4024


FEI Company
Fletcher Chamberlin (investors and analysts)
Investor Relations
+1 503 726 7710

Copyright © SEMATECH

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Simulating magnetization in a Heisenberg quantum spin chain April 5th, 2024

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Nanoelectronics

Interdisciplinary: Rice team tackles the future of semiconductors Multiferroics could be the key to ultralow-energy computing October 6th, 2023

Key element for a scalable quantum computer: Physicists from Forschungszentrum Jülich and RWTH Aachen University demonstrate electron transport on a quantum chip September 23rd, 2022

Reduced power consumption in semiconductor devices September 23rd, 2022

Atomic level deposition to extend Moore’s law and beyond July 15th, 2022

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Tools

First direct imaging of small noble gas clusters at room temperature: Novel opportunities in quantum technology and condensed matter physics opened by noble gas atoms confined between graphene layers January 12th, 2024

New laser setup probes metamaterial structures with ultrafast pulses: The technique could speed up the development of acoustic lenses, impact-resistant films, and other futuristic materials November 17th, 2023

Ferroelectrically modulate the Fermi level of graphene oxide to enhance SERS response November 3rd, 2023

The USTC realizes In situ electron paramagnetic resonance spectroscopy using single nanodiamond sensors November 3rd, 2023

Alliances/Trade associations/Partnerships/Distributorships

Manchester graphene spin-out signs $1billion game-changing deal to help tackle global sustainability challenges: Landmark deal for the commercialisation of graphene April 14th, 2023

Chicago Quantum Exchange welcomes six new partners highlighting quantum technology solutions, from Chicago and beyond September 23rd, 2022

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

University of Illinois Chicago joins Brookhaven Lab's Quantum Center June 10th, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project