Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > SemiLEDs Orders Multiple Ultratech Lithography Systems for Advanced LED Manufacturing

Abstract:
Ultratech, Inc.(NASDAQ:UTEK), a leading supplier of lithography and laser-processing systems used to manufacture semiconductor devices, today announced it received a multiple-system order from U.S.-based SemiLEDs Corp. A leading supplier of high-brightness, laser-emitting diodes (HBLEDs), SemiLEDs will use Ultratech's Star 100 lithography tools for its white light, HBLED, high-power, UVC LED and other advanced lighting applications at its manufacturing facility in Hsinchu, Taiwan. Ultratech's advanced lithography expertise is enabling SemiLEDs to grow its position in this burgeoning market as the industry shifts from conventional lithography to projection stepper lithography technology for advanced LED production.

SemiLEDs Orders Multiple Ultratech Lithography Systems for Advanced LED Manufacturing

San Jose, CA | Posted on October 14th, 2008

SemiLEDs Corporation Chairman and CEO Trung Tri Doan explained, "With improved alignment and resolution of the Star 100 Ultratech stepper system, we will start volume production of our advanced UVA high-power LED product family (365nm/395nm/405nm), with output optical power as high as 350mW per mm2. This new family of UVC high-power LED products will enable new LED applications that could only be dreamed of -- polymer curing such as inkjet printers, sanitation, semiconductor processes, medical applications such as dental, cancer treatment, tanning, etc. The MvPLED blue product family has seen a 15 percent improvement in performance; the new class of SemiLEDs Solid State Lighting devices (SL-SSL) 120lumens/watt will help accelerate the adoption of solid-state lighting. We selected Ultratech's lithography steppers based on the tools' high reliability and low cost of ownership. In addition to being a leader in advanced lithography solutions, Ultratech combines technology expertise and outstanding customer service to support our LED manufacturing requirements. As a valued partner, Ultratech will continue to play an integral role as advanced LED device volumes grow, and we continue to expand our worldwide leadership position."

"While this multi-system order demonstrates our ability to provide lithography systems that enable greater economic value to emerging markets, it also reinforces Ultratech's focus on energy conservation," noted Ultratech Chairman and CEO Arthur W. Zafiropoulo. "Today, lighting utilizes approximately 20 percent of global energy. As a result, the industry is transitioning to HBLEDs, which have a long life and use only a fraction of energy compared to incandescent and fluorescent lighting. With energy conservation driving up demand, HBLED leaders such as SemiLEDs are increasingly turning to stepper-based projection lithography due to its cost and yield advantages. We look forward to furthering our relationship with SemiLEDs and delivering lithography solutions that advance our customers' competitive advantage in this growing market."

The Star 100

The Star 100 lithography system is used by the leading HBLED and laser diode manufacturers and is designed to be easily integrated into a broad range of fabs with varying equipment types and wafer sizes. The tool's resolution, depth of focus, proprietary alignment system, and substrate handling capability combine to provide high productivity, reliability, flexibility, and cost-of-ownership advantages critical for advanced and emerging markets as they move toward high-volume production.

Certain of the statements contained herein, which are not historical facts and which can generally be identified by words such as "anticipates," "expects," "intends," "will," "could," "believes," "estimates," "continue," and similar expressions, are forward-looking statements under Section 27A of the Securities Act of 1933, as amended, and Section 21E of the Securities Exchange Act of 1934, as amended, that involve risks and uncertainties, such as risks related to our dependence on new product introductions and market acceptance of new products and enhanced versions of our existing products; lengthy sales cycles, including the timing of system installations and acceptances; lengthy and costly development cycles for laser-processing and lithography technologies and applications; integration, development and associated expenses of the laser processing operation; delays, deferrals and cancellations of orders by customers; cyclicality in the semiconductor and nanotechnology industries; general economic and financial market conditions including impact on capital spending; pricing pressures and product discounts; high degree of industry competition; intellectual property matters; changes to financial accounting standards; changes in pricing by us, our competitors or suppliers; customer concentration; international sales; timing of new product announcements and releases by us or our competitors; ability to volume produce systems and meet customer requirements; sole or limited sources of supply; ability and resulting costs to attract or retain sufficient personnel to achieve our targets for a particular period; dilutive effect of employee stock option grants on net income per share, which is largely dependent upon us achieving and maintaining profitability and the market price of our stock; mix of products sold; rapid technological change and the importance of timely product introductions; outcome of litigation; manufacturing variances and production levels; timing and degree of success of technologies licensed to outside parties; product concentration and lack of product revenue diversification; inventory obsolescence; asset impairment; effects of certain anti-takeover provisions; future acquisitions; volatility of stock price; foreign government regulations and restrictions; business interruptions due to natural disasters or utility failures; environmental regulations; and any adverse effects of terrorist attacks in the United States or elsewhere, or government responses thereto, or military actions in Iraq, Afghanistan and elsewhere, on the economy, in general, or on our business in particular. Such risks and uncertainties are described in Ultratech's SEC reports including its Annual Report on Form 10-K filed for the year ended December 31, 2007 and Quarterly Report on Form 10Q for the quarter ended June 28, 2008. Due to these and additional factors, the statements, historical results and percentage relationships set forth herein are not necessarily indicative of the results of operations for any future period. These forward-looking statements are based on management's current beliefs and expectations, some or all of which may prove to be inaccurate, and which may change. We undertake no obligation to revise or update any forward-looking statements to affect any event or circumstance that may arise after the date of this release.

About SemiLEDs: SemiLEDs Corporation is the only mass producer of metal-base LED chips in the world. It designs, develops, manufactures and sells high brightness light emitting diodes (HBLED) using proprietary technologies to enable high-performance, (120lumens/watt) and cost-effective, solid-state lighting solutions; it also manufactures UVA HBLED products (365nm, 395nm, 405nm) at optical power output up to 350mW per mm2. SemiLEDs is a U.S. corporation, with offices in Boise, Idaho and manufacturing operations in Hsinchu Science Park, Taiwan. For additional information, please visit www.semileds.com/ .

####

About Ultratech, Inc.
Ultratech, Inc. (NasdaqGM: UTEK) designs, manufactures and markets photolithography and laser processing equipment. Founded in 1979, Ultratech is a market leader in gold and solder bump lithography, in addition to being a pioneer of laser processing. Its advanced-packaging lithography systems deliver strong cost-of-ownership, repeatability and throughput advantages, and are widely used worldwide in the fabrication of semiconductors and FPDs. Ultratech's advanced laser processing technology is designed to enhance yields, while enabling a cost-effective transfer to 65-nm and below production, and is being integrated into the manufacturing lines of leading-edge semiconductor manufacturers.

For more information, please click here

Contacts:
Bruce R. Wright
Senior Vice President & CFO
or
Laura Rebouche
Vice President
Investor Relations
Marketing and Corporate Communications

both of Ultratech, Inc.
+1-408-321-8835
fax +1- 408-577-3379
or
Agency Contact
Angie Kellen
Senior Account Director
MCA
+1-650-968-8900
fax +1-650-968-8990

Copyright © PR Newswire Association LLC.

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Simulating magnetization in a Heisenberg quantum spin chain April 5th, 2024

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Good as gold - improving infectious disease testing with gold nanoparticles April 5th, 2024

Display technology/LEDs/SS Lighting/OLEDs

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

Light guide plate based on perovskite nanocomposites November 3rd, 2023

Simple ballpoint pen can write custom LEDs August 11th, 2023

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Tools

First direct imaging of small noble gas clusters at room temperature: Novel opportunities in quantum technology and condensed matter physics opened by noble gas atoms confined between graphene layers January 12th, 2024

New laser setup probes metamaterial structures with ultrafast pulses: The technique could speed up the development of acoustic lenses, impact-resistant films, and other futuristic materials November 17th, 2023

Ferroelectrically modulate the Fermi level of graphene oxide to enhance SERS response November 3rd, 2023

The USTC realizes In situ electron paramagnetic resonance spectroscopy using single nanodiamond sensors November 3rd, 2023

New-Contracts/Sales/Customers

Bruker Light-Sheet Microscopes at Major Comprehensive Cancer Center: New Advanced Imaging Center Powered by Two MuVi and LCS SPIM Microscopes March 25th, 2021

Arrowhead Pharmaceuticals Announces Closing of Agreement with Takeda November 27th, 2020

Veeco Announces Aledia Order of 300mm MOCVD Equipment for microLED Displays: Propel™ Platform First 300mm System with EFEM Designed for Advanced Display Applications October 20th, 2020

GREENWAVES TECHNOLOGIES Announces Next Generation GAP9 Hearables Platform Using GLOBALFOUNDRIES 22FDX Solution October 16th, 2020

Printing/Lithography/Inkjet/Inks/Bio-printing/Dyes

Presenting: Ultrasound-based printing of 3D materials—potentially inside the body December 8th, 2023

Simple ballpoint pen can write custom LEDs August 11th, 2023

Disposable electronics on a simple sheet of paper October 7th, 2022

Newly developed technique to improve quantum dots color conversion performance: Researchers created perovskite quantum dot microarrays to achieve better results in full-color light-emitting devices and expand potential applications June 10th, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project