Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Nanotechnology Columns > Martini Tech Inc. > Alternatives to EUV lithography for sub-10nm patterning

Martini Tech Inc
Martini Tech

Abstract:
Semiconductor volume production using EUV lithography has proved elusive so far due to multiple delays and by lack of a viable light source. But is there any alternative to optical stepper lithography for sub 10-nm patterning?

June 2nd, 2014

Alternatives to EUV lithography for sub-10nm patterning

It has been almost two decades since when EUV lithography was introduced to the public at large as the most likely next-generation lithography candidate
While several issues still hinder EUV litho progress, ASML` s CEO Peter Wennink recently stated in a conference call with shareholders that he is optimistic in getting EUV litho ready for high-volume manufacturing (HVM) in 2016.
However, many analysts do not share Mr. Wennink` s optimism.

The most recent of a long list of troubles that have plagued EUV path to high volume manufacturing in the last few years has been the breakdown of a test unit delivered at TSMC last year, but other issues as the lack of a viable light source and mask defectivity have not yet been solved.

In particular, light source power is now considered as the main stumbling block to full adoption of EUV lithography: while at least 100W~125W are needed for HVM and the ultimate is goal is a 250W source, so far only 10W~15W are available, with Cymer claiming they can go slightly over 20W with their laser-produced plasma (LPP) technology and Gigaphoton claiming they have a 43W solution ready.
Even if such claims were true, we would be still far from the power needed.
The light source power issue is so serious that it is widely believed to have been the main reason behind the recent multi-billion acquisition of Cymer by ASML.

Whether EUV lithography will finally make it to full production (possibly with a late insertion at the 10nm node) remains to be seen but the industry is not standing still waiting for EUV litho to succeed or fail and alternatives are thoroughly explored.
Many industry insiders point out to the fact that it may be possible to extend current ArF 193nm technology until the 7nm or even the 5nm node using tweaks and hacks such as multiple patterning and therefore there may be no need of any "next-generation" solution after all, at least until the 5nm node which will be reached in the early 2020s, according to the latest ITRS roadmap.
However, while from a strict technical point of view this may be true, the costs related to multipatterning are very high and lithography costs alone, that accounted for about 20%~30% of the total chip production costs a few years ago, are now taking an increasingly large share.
Therefore, even if technological issues may not bring Moore` s Law to an end, economical ones may, unless new production routes are found.

One of the most studied alternatives to traditional stepper lithography has always been E-beam. While E-beam machines are indeed able to pattern down to a few nanometers, the time taken to pattern more than a few squared millimeters is extremely long and therefore E-beam is a solution poorly suited for high volume production.
Mapper, a Dutch company specialized in E-beam, is trying an innovative approach in collaboration with Taiwanese foundry giant TSMC by adopting a new technology called multiple beam patterning, which allows to pattern on the substrate using not just one beam but several thousands beams at the same time.
While so far multiple beam patterning has not been able to deliver a solution for full volume manufacturing, TSMC and Mapper are not alone in betting on it: Leti, the French research consortium, is now working on it with their "Imagine" program.

Another relatively new technology is nanoimprint.
Firstly conceived back in the 90s by Prof. Chou, nanoimprint is a relatively simple and straightforward method of creating patterns and it has been demonstrated effective down to sub-10nm. Instead of relying on complex optical machines, nanoimprint devices operate like a press, literally imprinting the pattern on the substrate.
Nanoimprint machines do not therefore require the expensive laser and optical parts that are needed for a stepper and therefore the price of a nanoimprint machine is just a fraction of that of a stepper.
Nanoimprint, however, has serious limitations when compared to optical lithography: alignment between two consecutive imprints is far below what needed for multi-layered ICs structures and therefore they cannot yet be mass-produced with this technology.
Master mold defectivity is also a big issue since defects on the master mold inevitably get replicated at each imprint on the substrate

Direct self-assembly (shortened with the acronym DSA) is another relatively new technique that has the advantage of being able to create patterns at extremely small dimensions and at low cost.
The method works by using block co-polymers and allowing them to "self-assemble" directly on the substrate. The advantage of the technique is that it is cheap, the disadvantages are with pattern dimensions variability that is much wider than what is needed for mass IC production.

So, despite all the talk about extending Moore` s Law into next decade with new technologies, it may really be that our hopes are left only with EUV and possibly multiple patterning.

During a Nanotech Japan fair at the Tokyo Big Sight a couple of years ago, I was discussing about this matter with Harun Solak, an expert of nanoimprint and CEO of Eulitha.
He told me that, while he was still optimistic in the future growth of nanoimprint, there are simply too many advantages with optical lithography to be supplanted anytime soon

I heard similar authoritative views on this matter months ago, during the buffet we had here in Tokyo after the annual IMEC symposium at the New Otani Hotel.
While drinking great beer at the Belgian Embassy I had a talk with a manager of the EUV lithography group and asked if, despite all the official assurances that at the end EUV will come out as a winner, he really thought what he said in public during his speech.
His reply was disarmingly simple: he told me there are simply no alternatives to EUV and multi e-beam, nanoimprint, let alone DSA, will never make it in full production for logic ICs.

While it may be too early to rule out all other options, it is clear that a failure of EUV to provide a real solution to high volume manufacturing for the sub-10 nm node risks to indefinitely delay the progress of Moore` s Law.

About the Author - This article is contributed by Martini Tech Inc., a nanotechnology company based in Tokyo, Japan and specialized in nanoimprint services, sputtering thin-film deposition, GaN MOCVD technology, and patterned sapphire substrates (PSS) for LED applications.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project