Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Directory > Nanotechnology Tool Makers & Service Providers

Nanotechnology Tool Makers & Service Providers

Last Updated: Monday, 20-Apr-2015 19:51:36 PDT

Currently there are 201 tool makers listed. If you know of another that is not shown, please contact us.


NEW:

Nanolane
"... develops and commercializes new optical solutions for: Visualization and/or detection of nano-objects and ultra thin films; Thickness measurement of ultra thin films (repeatability < 0.3nm)."

NanoTechnology Manufacturing (NTM)
"... offers one-stop solutions and services in the design, development and manufacturing of ultra-precision molds, injection molding and assembly of products."

FOGALE nanotech
"... an engineering company created in 1983, is now a worldwide known reference in the field of high accuracy dimensional metrology."

CEVP - NanoGrowth(TM)
"... a fabrication tool to commercialise the revolutionary low temperature carbon nanotube growth process developed by the University of Surrey's Advanced Technology Institute (ATI)."

NIL Technology
"... sells stamps for nanoimprint lithography (NIL) and provides imprint services. Stamps made in Siliocn, Quartz, and Nickel are offered. Large area homogeneous imprints are ensured with NIL Technology stamps by a patent pending stamp technology."

Smart Imaging Technologies
"... a set of unique and capable software tools ... also advanced research and development capabilities for analyzing specific problems and delivering complete solutions in areas of quantitative image analysis and 3D computational modeling of particulate materials."

NILCom
"... a technology platform for Nanoimprint Lithography processes (NIL)."

Zia Laser
"... a leading innovator and manufacturer of Quantum Dot semiconductor lasers."

Xradia
"... designs and manufactures a suite of ultra high resolution 3D x-ray microscopes and fluorescence imaging systems for non-destructive imaging of embedded internal structures."



Click directly to: Magazines | Recommended Reading

B | C | D | E | F | G | H | I | J | K | L | M
N | O | P | Q | R | S | T | U | V | W | X | Y | Z

3D Systems
Valencia, CA, USA. Rapid Prototyping, Advance Digital Manufacturing, 3-D Printing, 3-D CAD

4Wave
Sterling, VA, USA. "... custom process equipment and engineering services to meet challenging vacuum equipment and thin-film processing needs."

Accelrys
San Diego, CA, USA. "... provides software solutions for pharmaceutical and chemical research. Products and processes as diverse as nanotechnology and bulk chemical production can be investigated and improved upon using Materials Studio functionality."

Accurion Scientific Instruments
Menlo Park, CA, USA. "Accurion specializes in providing leading technologies and instrumentation for acquiring, analyzing, and imaging critical surface properties to the biomedical, chemical, semiconductor, storage, and related industries."

ACLARA BioSciences
Mountain View, CA, USA. "Microfluidic systems, chips and chemistries, often referred to as "lab-on-chip" technology, which enables the miniaturization, integration and automation of various laboratory procedures to increase throughput and information content in applications such as genomics and drug discovery." (Now merged with ViroLogic)

Advanced Photonix
California, Michigan, Wisconsin, USA. "... supplier of opto-electronic solutions and Terahertz sensors and instrumentation to a global OEM customer base."

CytoViva by Aetos Technologies
Auburn, Alabama, USA. "Provider of High Resolution Optical Microscope System for nanomaterials."

Alicona Imaging
Graz, Austria. "Software products for digital image processing."

Alio Industries
Loveland, CO, USA. "Advanced robotics and stages for nano precision applications."

Ambios Technology
Santa Cruz, CA, USA. "Surface analysis instrumentation to general industrial and academic research customers."

AngstroVision
San Francisco, CA, USA. " We are developing a new nano-imaging device which targets characterization and metrology applications in the materials and information technology markets."

Apex NanoTechnologies
Seattle, WA, USA. "... a new software company exclusively focused on the design and delivery of superior quality software tools for nanotechnology design and applications. "

Applied Materials
Santa Clara, CA, USA. "... the world's largest supplier of products and services to the global semiconductor industry, is one of the leading information infrastructure providers. "

Applied NanoFluorescence
Houston, TX, USA. "... a scientific instrument company formed to supply the carbon nanotube community with unique, specialized optical instrumentation for sample characterization. "

Ariel Technologies
(ATI) Moraga, CA, USA. Designs, develops, manufactures, markets and supports scanning probe microscopy (SPM) and metrology tools based on nondestructive and direct microwave impedance measurements.

Arryx
Chicago, IL USA. "We employ holographic laser steering at microscopic and nanoscopic levels to improve manufacturing and processing productivity and profitability in multiple markets that are dependent upon miniaturization."

Ascend Instruments
Beaverton, OR, USA. "... instrumentation, tools, and techniques to the microscopy laboratory."

Aspen Technologies
Colorado Springs, CO, USA. "... a full-service packaging foundry for the international semiconductor industry, offering a wide variety of microelectronic packaging and assembly processes."

Asylum Research
Santa Barbara, CA, USA. "... manufactures state-of-the-art scanning probe/atomic force microscopes for nanoscale science and technology. It's flagship product, the MFP-3D AFM has set new standards for precision and accuracy."

Atomate
Santa Barbara, CA, USA. "Atomate Corporation develops complete systems, components, and materials optimized for the synthesis of nanowires and nanotubes."

Atomic Force F&E
Mannheim, Germany. "Bringing new developments in the field of measurement instrumentation to the laboratories in scientific research and industry."

Aviza Technology
Global. Supplier of semiconductor equipment.

Back To Top

Ball Semiconductor
Allen, TX, USA. Developing the technology to build integrated circuits and sensors on a silicon sphere rather than on a flat wafer; one-at-a-time instead of in batches; and in small tubes and pipes rather than in large, expensive clean rooms.

Beneq Oy
Finland. Equipment designed for chemistry at surfaces and addressing the market of functional surfaces by employment of nanosize materials.

BioForce Nanosciences
Ames, IA, USA. Developer of ultra-miniaturized nanoarray technologies for solid-phase, high-throughput biomolecular analysis, and AFM products.

Brewer Science - MEMS Unit
Rolla, MO, USA. Provides specialty or niche materials that make commercialization of MEMS devices possible.

Bruker AXS
Madison, WI, USA. Provides advanced integrated X-ray systems and complete solutions for molecular and elemental analysis by X-ray diffraction and X-ray fluorescence.

BudgetSensors
Sofia, Bulgaria. Supplier of AFM probes.

Back To Top

Cabot Microelectronics
Aurora, IL, USA. Supplier of sophisticated polishing compounds and provider of polishing pads used in the manufacture of advanced semiconductors (chips) and rigid disks.

Capovani Brothers
Scotia, NY, USA. A dealer in refurbished semiconductor manufacturing equipment, with wide variety of equipment for use by the Nanotechnology and MEMS communities.

Carl Rodia & Associates
Trumbull, CT, USA. MEMS and Nanotechnology Engineering and Fabrication Services

Centre of Excellence in Metrology and Characterisation specific to MNT - CEMMNT
UK. "... will deliver vital measurement solutions and advice built on the knowledge base of NPL, QinetiQ, Taylor Hobson, the SEIC, Coventor and GE Druck, supported by a coordinated metrology capability that is commercially focused, and openly accessible."

CHA Industries
Fremont, CA, USA. "... design, production and distribution of a full line of high vacuum deposition systems and associated equipment for precision coating."

ClassOne Equipment
Atlanta, GA, USA. "... specializes in buying and selling high quality pre-owned equipment to the Semiconductor and Nanotechnology Industries including MEMS, Compound Semiconductor, Optoelectronics, and related industries."

ComputeNano.com
San Diego, CA, USA. "... information resource for computer-aided nanodesign (CAN), the field of using modeling, simulation, and informatics software to accelerate R&D in nano-manufacturing."

Concentris
Basel, Switzerland. "... develops and commercialises analytical instruments for the detection of molecules and measurement of molecular interactions."

Concurrent Design - Nanotechnology Tools
Austin, TX, USA. "Nanotechnology tool engineering, design, and prototyping."

Cooke Vacuum Products
South Norwalk, CT, USA. "... designs and manufactures a wide range of standard and custom high and ultrahigh vacuum systems intended for research and production."

Cookson Electronics
Providence, RI, USA. Supports the semiconductor industry. "... a division of Cookson Group plc."

Cronos Integrated Microsystems
Research Triangle Park, NC, USA. A JDS Uniphase Company "... MEMS supplier that provides bulk, surface, and high-aspect ratio (LIGA) micromachining - the three key processes used to fabricate MEMS devices."

Copenhagen Applied Research
CAPRES A/S. Lyngby, Denmark. Capres provides high-quality systems for accurate electrical characterization of materials on the micro-scale. Capres offers a versatile Microscopic Four-Point Probe (MFPP), for accurate micro-scale electrical measurements. A fully automated scanning probe system based on the microscopic four-point probes, the Scanning COnductivity Microscope (SCOM), is under development.

Creatv MicroTech
Nano Devices. Rockville, MD, USA. Goal is to develop and market products that will improve medical diagnostics, medical treatment, or medical research.

Back To Top

Danish Micro Engineering
Herlev, Denmark. Scanning Probe Microscopes, and SPM products; Atomic Force Microscopes - AFM, Scanning Nearfield Optical Microscopes - SNOM, and Scanning Tunnelling Microscopes - STM

DCA Instruments
Finland, and worldwide. Specializing in the design and manufacture of UHV thin film process systems and components.

Diagnostic Instruments
Sterling Heights, MI, USA. Manufactures digital cameras and camera products for microscopy.

DTI -NanoTech
Sarasota, FL, USA. Micro/nano-positioning.

Dynamic Structures and Materials
(DSM) Franklin, TN, USA. "... specializes in the development, application, and integration of micro and nanopositioning devices and systems for custom precision motion solutions."

Back To Top

E. Fjeld Company
North Billerica, MA, USA. Manufactures precision instrumentation for Electron-beam instruments.

Emitech
Ashford, Kent, England. Manufacturer of high vacuum evaporators & deposition systems, critical point dryers, cryo SEM systems and laboratory coaters.

Energy Beam Sciences
Agawam, MA, USA. Designs, manufactures and sells products that support the sciences, including histology, light microscopy, electron microscopy, materials science and products for the production, control and application of electron beam technology.

Engis
Wheeling, IL, USA. Engis manufactures and markets microfinishing systems for operations that demand sub-micron levels of precision in surface polishing and texturizing.

Epion
Billerica, MA, USA. A unique expertise in Gas Cluster Ion Beam (GCIB) technology.

Evex Analytical
Princeton, NJ, USA. Microanalysis, digital imaging for Scanning Electron Microscopy, X-ray and Quantum Dot detectors, electron microscopy supplies, commercial materials analysis laboratory, specializing in surface, thin-film, and trace element characterization, and more.

EXFO
Vanier, Quebec, CANADA. Designer and manufacturer of fiber-optic test, measurement, monitoring and automation solutions for the global telecommunications industry, including high-performance test, measurement and nanopositioning instruments.

Extraction
Franklin, MA, USA. "... the semiconductor industry's authority in molecular contamination measurement and control."

Back To Top

FEI Company
Hillsboro, OR, USA. Supplier of Structural Process Management™ solutions. SEM, TEM and FIB tools.

FEMLAB
Stockholm, Sweden, and worldwide. Software solutions for multiphysics modeling.

First Nano
Carpinteria, CA, USA. ".... process equipment suitable for the synthesis of a variety of one-dimensional nanostructures and nanomaterials."

Fischione Instruments
Export, PA, USA. Designs and manufactures a complete line of innovative electron microscopy sample preparation and imaging products.

Forevision Instruments
Hyderabad, India. Supplier of scientific instruments and other services in India.

Back To Top

General Nanotechnology
(GN) Berkeley, CA, USA. "... hardware and software that provides advanced capabilities in imaging, nano-manipulation, nano-fabrication, nano-spectro-photometry, near field optical probes below the aperture (50nm) limit, and diamond and other nano-tools and parts."

Genicon Sciences
San Diego CA, USA. Engaged in the rapid commercialization of biological assay, or bioassay, solutions that meets the needs of the life sciences research community, using technology based on the physical properties of nano-sized metallic particles.

Back To Top

Hielscher
Berlin, Germany. "... makes ultrasonic equipment for the dispersing, deagglomeration and particle size reduction of micron-size and nano-size materials in lab and production scale."

HK Equipment
Haverhill, MA, USA. Used vacuum, thermal, advanced material processing, industrial and surplus laboratory equipment.

Honeywell
Freeport, Ill, USA. Sensing and Control Unit.

Hypercube
Gainesville, FL, USA. A scientific software company, incorporated in 1985, specializing in molecular modeling software.

Hysitron
Minneapolis, MN, USA. "... producing, servicing, and designing the world's leading nanomechanical test equipment."

Back To Top

Imago Scientific Instruments
Madison, WI, USA. "... is developing proprietary Nanolytical™ equipment to meet the characterization needs of the nanotechnology revolution. Imago's premier product, the LEAP™ microscope, provides unsurpassed three-dimensional materials analysis at the nanoscale."

IMS Nanofabrication
Vienna, Austria. "... experts in the field of “charged particle optics” and related subjects (electronics, software, mechanical, system design, etc.)"

Infinitesima
Bristol, UK. Infinitesima is a new company seeking to lead the way in innovative technology for the Scanning Probe Microscopy community. We formed in 2001 as a partnership of members of the Bristol University Scanning Probe Microscopy group, building on the expertise in novel instrumentation, and in applications to biology and polymer science.

Back To Top

JEOL USA
Peabody, MA, USA. Manufacture, sale and service of Electron Microscopes, such as SEMs and TEMs, and various types of analytical instruments including Mass Spectrometers, NMRs, ESRs, and Semiconductor Equipment.

JC Nabity Lithography Systems
Bozeman, MT, USA. Maker of the "Nanometer Pattern Generation System" (for E-Beam Lithography using a commercial Electron Microscope)

JPK Instruments
Berlin, Germany. JPK Instruments is a fast-growing, young company in the field of NanoBiotechnology with headquarters in Berlin. We are one of the first VC-financed NanoBiotechnology companies in Germany, engaged in national and international trade. With the NanoWizard ™ AFM we started our product line of new generation scanning probe microscopes.

Junius Tech
Moffett Field, CA, USA. "... envisions to be a leading provider of: High fidelity nanotechnology simulation software tools; Out-sourcing of small and large scale simulation services; Poblem solving consulting - to small and large companies and institutions engaged in nanotechnology related R&D, and next generation of commercial applications and product developments."

Back To Top

Kelvin Nanotechnology
Glasgow, UK. Specializes in semiconductor fabrication & processing tools, and is and is currently active in the areas of Nanoelectronics Bioelectronics and Optoelectronics.

KLA-Tencor
San Jose, CA, USA. "... supplier of process control and yield management solutions for the semiconductor and related microelectronics industries."

Kleindiek Nanotechnik GmbH
Reutlingen, Germany. Light Microscopy

Back To Top

Laurell Technologies Corporation
North Wales, PA, USA. Manufacturers of spin processors for coat, develop, etch, clean, rinse-dry of wafers, microscope slides and other substrates for the Semiconductor, Nanotech, MEMS, Bioscience and other related industries.

Sputtered Films
Leica Microsystems - Light Microscopy Unit
Wetzlar, Germany. Provides solutions based on high resolution light microscopes for applications in the bio-medical and industrial market.

LEO Electron Microscopy
Cambridge, UK, Oberkochen, Germany, and Thornwood, USA. Transmission Electron Microscopes and Conventional and Variable Pressure Scanning Electron Microscopes.

LoKey - Data Acquisition and Control
So. Attleboro, MA, USA. "... services to the nanotechnology community in the areas of custom automated materials test and measurement instrumentation systems."

Back To Top

Mad City Labs
Madison, WI, USA. "Manufactures nanopositioning systems with sub-nanometer precision ... specializes in custom nanopositioning applications ... a diverse product line of standard nanopositioning systems."

Magma Design Automation
Santa Clara, CA, USA. "... develops software for electronic design automation (EDA), enabling integrated circuit designers to meet critical time-to-market objectives, improve chip performance and handle multimillion-gate designs."

Malvern Instruments
Worcestershire, United Kingdom. "... supplier of instrumentation systems that apply advanced technologies for the accurate characterization of particle and material properties."

Mecartex
Losone, Switzerland. "... a Swiss company specialized in design and fabrication of mechanisms and systems for very high precision applications."

Metryx
Bristol, England. "Providing Metrology Solutions for the Semiconductor Industry."

MFIC Corporation
Newton, MA, USA. "... manufactures industrial submicron processing equipment for national and international markets."

Micro Materials
Wrexham, United Kingdom. "... nanomechanical testing instruments for research and quality assurance."

MikroMasch Cantilevers & Gratings for SPM
Portland, Oregon, USA (and many other locations). Scanning Probe Microscopy products.

Miniature Tool & Die
Charlton, MA, USA. Designs and builds precision micro molds and micro EDM components that make the smallest plastic components in the world.

Molecular Devices and Tools for Nanotechnology
Zelenograd, Moscow, Russia. "... to supply the world market with the high quality Scanning Probe Microscopes and related accessories."

Molecular Imaging
Tempe, AZ, USA. Developer and supplier of tools for Scanning Probe Microscopy (SPM) and Atomic Force Microscopy (AFM) - including systems, accessories, software and data management - for imaging under controlled temperature and environmental conditions.

Molecular Imprints
Austin, TX, USA. Design, develop, manufacture and support imprint lithography systems to be used by semiconductor device manufacturers.

Moore Nanotechnology Systems
Keene, NH, USA. "... dedicated to the development of ultra-precision machine systems, typically utilizing Single Point Diamond Turning and Deterministic Micro-Grinding technologies, for the production of plano, spherical, aspheric, conformal, and freeform optics."

Moxtek
Orem, UT, USA. "... specializes in the development and application of near atomic nano-structures used in technology enabling optical, x-ray, and other high-tech applications."

MS MacroSystem
Maarn, The Netherlands. 3D Surface View Software.

MTS Nano Instruments
Oak Ridge, TN, USA. Quantitative nanomechanical properties management.

MTS Systems
Eden Prairie, MN, USA. A Supplier of mechanical testing and simulation equipment, including Nanomechanical Testing.

Multiprobe
Santa Barbara, CA, USA. Developer and manufacturer of a combined fault isolation and atomic force nanoprobing tool, with three simultaneous imaging techniques, including topography, current imaging (PicoCurrent) and capacitance imaging (SCM), IV curves, integrated CAD navigation and ability to perform in situ non-contact and dark probing.

MVA Scientific Consultants
Duluth, GA, USA. "... critical analysis and support to various industries, research and legal interests worldwide, through the use of electron and light microscopy."

Back To Top

nanoAnalytics
Münster, Germany. A service laboratory specialized in the characterization of surfaces and interfaces on the micro- and nanometer scale.

NanoAndMore GmbH
Wetzlar, Germany. "... major brands of AFM cantilevers, calibration gratings, and other products for SPM."

Nano-Architect Research Corporation (NARC)
Hsinchu Science Park, Taiwan. Semiconductor Equipment

Nanofactory Instruments
Göteborg, Sweden. Provides novel and unique solutions to transmission electron microscopy users, specializing in TEM-holders, equipped with manipulation and measurement tools, for in situ probing of electrical and mechanical properties.

NanoFeel
Carouge, Switzerland. NanoFeel is producing and commercializing manipulators for Atomic Force Microscopes, enabling manipulation at the nanometric scale.

Nanofilm
Göttingen, Germany. "... develops, manufactures, and distributes instruments & accessories for analysis and microscopy of surfaces in material research, biochips, flat panel displays, Langmuir-Blodgett research, and several other fields."

Nano-Hive
New York, NY, USA. "Nano-Hive is a modular simulator used for modeling the physical world at a nanometer scale. The intended purpose of the simulator is to act as a tool for the study, experimentation, and development of nanotech entities. Nano-Hive is a GPL/LGPL licensed open-source development - you can download and use it for free."

NanoInk
Chicago, Ill, USA. NanoINK is a startup company focused on providing direct write nanolithography solutions for bioscience and microelectronic applications.

NanoMc
Wappingers Falls, NY, USA. "... various scanning probe microscope (SPM) software tools for analyzing indentations that have been imaged with an atomic force microscope (AFM)."

Nanometer Pattern Generation System
Bozeman, MT, USA. "... to provide a powerful, versatile, and easy to use system for doing state-of-the-art electron beam lithography using a commercial SEM or STEM."

Nanometrics
Milpitas, CA, USA. Designs, manufactures and markets advanced thin film and overlay metrology systems.

Nanomotion
Ronkonkoma, NY, USA. Designs, manufactures, and markets ceramic servo motors that utilize proprietary breakthrough technology.

Nanonex
Monmouth Junction, NJ, USA. NIL tools, resists, masks, and processes.

Nanonics Imaging
Jerusalem Israel. Provides solutions for integrating all forms of near-field optics and high resolution microscopy, including NSOM--near-field scanning optical microscopy (also called SNOM--scanning near-field optical microscopy), PSTM, ANSOM, AFM, confocal, CCD, far-field, and SEM.

Nanonis
Zurich, Switzerland. Scanning Probe Methods and Low Noise Measurement Techniques

Nanoparc GmbH
Rossendorf, Germany. Nanoparc is a spin-off founded by researchers of the Research Center Rossendorf. Processes and tools for the design and modification of nanostructures and related technologies.

NanoPhotonics
Mainz, Germany. Defect and Thin Film Measurement Equipment for Semiconductor Applications

NanoPoint
Honolulu, HI, USA. "... a biophotonics company specializing in the development of ultra-high resolution intracellular imaging products."

Nanorex
Bloomfield Hills, MI, USA. "... the leading provider of computational modeling tools made specifically for the design and analysis of productive nanosystems. Nanorex’s first product, nanoENGINEER-1™, is a 3D nanomechanical CAD program. It includes both a sophisticated CAD module for the design and modeling of atomically precise components and assemblies, and a molecular dynamics module for setting up and simulating mechanical nanodevices. nanoENGINEER-1 is currently under development and is scheduled for release in early 2006."

Nanorobotics
Sao Paulo, Brazil. "... advanced graphics simulations are presented for the problem of nano-assembly automation and its application for medicine."

Nanoscience Instruments
Phoenix, AZ, USA. Provides high quality nanoscience instrumentation, accessories and supplies to educators, researchers and engineers.

Nanosensors
Neuchatel, Switzerland. SPM and AFM products.

NanoSight
London, UK. "... provides a means by which a compact, low cost attachment to an existing optical microscope can be used to visualise nanoscale particles (as small as 20nm) for the purposed of counting, sizing and analysing particle size distribution."

Nanostructures
Santa Clara, CA, USA. "... specializes in the custom fabrication of thin film and micromachined MEMS devices for diverse industries, from biomedical to semiconductor applications."

NanoSurf
Liestal, Germany. Main focus is on the research and development of scanning probe microscopes (SPM) and positioning devices with nanometre resolution.

Nanotec Electronica
Madrid, Spain. "... design, construction and development of Scanning Probe Microscopes and related devices."

Nanotech-America
Allen, TX, USA. Scanning Probe Microscopy.

nanoTITAN
Potomac Falls, VA, USA. A provider of software, information and services to the nanotechnology community: "Enabling the Diamond Age."

nanotools
Munich, Germany. "... a spin-off of the Center for Nanoscience (CeNS). ... designs, manufactures and markets tools for nanotechnology applications. Currently the main topics are high quality scanning probes for atomic force and scanning near field microscopy."

Nanotube Modeler
By JCrystal "... a program for generating xyz-coordinates for Nanotubes and Nanocones."

NanoWave
Lynn, MA, USA. "NanoWave's mission is to raise the bar in position measurement and machine control to a level far beyond anything known to high precision manufacturing today."

NanoWorld
Neuchatel, Switzerland. "High precision Scanning Probes for atomic force microscopy (AFM)"

Nascatec GmbH
Kassel, Germany. "Developing, prototyping and producing SPM, AFM, SNOM sensors and micro-nanomanipulators for application in R&D, characterisation of surface topography, process control, micro-nanomanipulation and bioanalytics."

National A.T.E.
"... location and sale of excellent quality, used and pre-owned, semiconductor test systems, semiconductor fab, lab microscopy (SEM), and semiconductor production equipment."

National Physical Laboratory
Middlesex, UK. The UK's National Measurement Laboratory

New River Kinematics
Williamsburg, VA, USA. Software tools for robotic simulation and control, coordinate metrology, engineering database management, and molecular modeling.

nextnano3
Munich, Germany. Semiconductor software solutions.

NNIN Process and Characterization Tools
"... an integrated networked partnership of user facilities, supported by the National Science Foundation, serving the needs of nanoscale science, engineering and technology."

Norsam Technologies
Hillsboro, OR, USA. "... provides services and manufactures products for its customers in the fields of nano technology, microtooling, archival preservation and gemstone marking with patented and proprietary focused ion beam, laser and UV light technologies."

Novascan Technologies
Ames, IA, USA. Develops specialized tools to visualize and manipulate microscopic and nanoscopic environments.

nPoint
Middleton, WI, USA. (Formerly known as PIEZOMAX Technologies, Inc.) Ultra-precision motion devices and controllers for nanoscale research and manufacturing

NT-MDT
Zelenograd, Moscow, Russia. Scanning Probe Microscopes and related accessories.

Back To Top

Obducat
Malmö, Sweden. Develops and supplies technologies and processes for production and analysis of micro and nano structures and provide solutions to companies working with information storage, semiconductors, printed circuit boards, and sensors.

Omicron
Taunusstein, Germany. Specialises in instruments for surface science and Scanning Probe Microscopy.

Omniprobe
Dallas, TX, USA. "... design and manufacture analytical tools and accessories, primarily for the semiconductor industry."

Olympus
Japan. Microcantilevers.

Olympus Industrial America’s Micro-Imaging Division
Worldwide. "... industrial, medical and consumer markets, specializing in optics, electronics and precision engineering."

Osmic
Auburn Hills, MI, USA. X-ray and neutron multilayer coatings, optics, and instruments.

Oxford Instruments
UK, Europe, USA, and Asia. "... a global company specialising in the design, supply and support of innovative, advanced instrumentation for industry, research and educational institutions."

Oxford nanoScience
Milton Keynes, UK. Developers of the Three Dimensional Atom Probe for nanoscale materials analysis.

Oxford Research
Oxfordshire, UK, Blauvelt, NY, USA, and worldwide. "... a supplier of UHV and HV components and systems for the deposition and characterisation of thin films."

Back To Top

Pacific Nanotechnology
Santa Clara, CA, USA. Formerly Pacific Scanning Corporation (PSC). "Provides products & services that facilitate advances in nanotechnology and nanoscience. Our atomic force microscope (AFM) products are optimized for research development and process control applications when visualization and measurement of nanometer sized surface structure is critical."

Photon Design
Oxford, UK. "... offers a wide range of design tools for nanotechnology including CrystalWave, a mask layout editor together with a powerful 3D FDTD propagation engine for photonic crystals and FIMMPROP a bi-directional optical propagation tool."

Physical Electronics
Eden Prairie, MN, USA, and worldwide. The instrument division of PHI-Evans. Surface analysis and materials characterization, tools, analytical lab services and consulting services.

PI (Physik Instrumente)
Karlsruhe Germany, and locations world-wide. NanoPositioning Solutions, NanoAutomation & Piezo Technology

Precision Photonics
Boulder, CO, USA. "... manufactures optical components and measurement instrumentation products ..."

Back To Top

Quantachrome Instruments
Boynton Beach, FL, USA. "Manufactures laboratory equipment for characterization of porous materials, and which is ideally suited therefore for analysing hydrogen storage materials, nanoporous carbons, MOFs, platinum (and other) catalysts, SOFC electrodes, nafion-type membranes, etc."

Queensgate Instruments
Devon, UK. "... designs and manufactures NanoPositioning products ..."

Quesant Instrument Corporation
Agoura Hills, CA, USA. Manufacturee of scanning probe microscopes (SPMs).

Back To Top

Raith
Dortmund, Germany. "... an innovative attachment and system supplier for Nanolithography using electrons or ions. Tools and systems are used for R&D and small batch production."

RHK Technology
Troy, MI, USA. Designs, manufactures and markets a complete line of SPM products which are continuously improved to meet the performance and versatility requirements of research scientists.

Rigaku/MSC
Tokyo, Japan. Analytical and industrial instrumentation technology.

RNT
Hunt Valley, Md, USA. "... provides rapid and localized next generation heating products for room temperature joining and applications in manufacturing industries."

Rodel
Phoenix, AZ, USA. Products for planarization and polishing of semiconductors and other electronic materials.

Back To Top

Scientific Tec
Los Gatos, CA, USA. Supplier of Acoustic Microscopes. This technology allows research and production users to find internal microscopic voids, delaminations, cracks and other defects inside opaque materials non-destructively.

SciQuip
Freehold, NJ, USA. Reconditioned used Laboratory, Test, Semiconductor Processing and Scientific Equipment.

Seki Technotron USA
Santa Clara, CA, USA. "We manufacture Microwave CVD systems for R&D and production, and we are the worldwide distributor for sp3 Hot Filament CVD systems. Our systems are used for making diamond films, CNT and other advanced material applications for R&D and industrial diamond coating."

SEMTech Solutions
Billerica, MA, USA. Reconditioned scanning electron microscopes (SEM), on-site and factory SEM services, plus value-added hardware/software SEM upgrades for research and training facilities.

SENTECH Instruments
Berlin, Germany. Develops, manufactures and sells advanced quality instrumentation for Thin Film Metrology and Plasma Process Technology.

SII NanoTechnology
Tokyo, Japan. "... offering high grade, advanced analysis and measurement instruments since the foundation of its predecessor Seiko Instruments Inc. Scientific Instruments Division in 1970."

Silicon-MDT
Moscow, Russia. Business and technology partner with MikroMasch. Manufacturer of commercial silicon cantilevers and calibration gratings for Scanning Probe Microscopy.

Small-Tech Tools
West Chester, OH, USA. "... supplies the tools and services to let you and your team work in the Nano and MEMS world."

Smart Imaging Technologies
Houston, TX, USA. SIMAGIS® software automates image analysis and data collection for nanotubes, nanofilms, and particles and is useful for optimization of production processes and quality control.

South Bay Technology
San Clemente, CA, USA. Manufactures Materials Processing Equipment for applications in Electron Microscopy, Optical Microscopy, Metallography, Microelectronics and Single Crystal Processing.

Specs Nanotechnology
Delft, The Netherlands. Provides universities and industrial research institutes with equipment to enable nanotechnology research.

Sputtered Films
Santa Barbara CA, USA. A wholly owned subsidiary of Tegal Corporation, manufactures versatile PVD sputter and reactive sputter cluster tools for nanotechnology applications.

Sputtered Films
Steamboat Semiconductor
Carrollton, TX, USA. We buy and sell pre-owned fabrication, assembly and packaging equipment. Over the years we've sold equipment, ranging from small lots to entire facilities, on behalf of (and to) many of the major companies in the industry, from start ups to Fortune 100 companies. We buy from clients, and sell to customers, worldwide.

Structured Materials Industries, Inc. (SMI)
Piscataway, NJ, USA. "... focused on being the leader in selling Metal Organic Chemical Vapor Deposition (MOCVD) Technology for complex materials."

Surface Imaging Systems
Herzogenrath, Germany. Manufacturer of Scanning Probe Microscopy components.

SUSS MicroTec
Worldwide. Manufacturer and supplier of precision microelectronics equipment for the manufacturing and R&D environments.

SwissProbe
Basel, Switzerland. "... high-resolution and versatile magnetic and non-contact scanning force microscopes."

Back To Top

TauTec
Columbia, MD, USA. Picosecond Gated Imaging, Fluorescence Lifetime Imaging Microscopy, Real-Time Multifocal Multiphoton Microscopy and Spectroscopy.

Team Nanotech
Villingen-Schwenningen, Germany. Founded in 1997 as an IBM Germany spin-off. Stencil mask technology and the batch fabrication of silicon AFM probe tips and tip characterizers. Also provides development and manufacturing of 3-D silicon MEMS components for electron beam systems, microfluidic-and microoptical devices.

Tegal Corporation
Petaluma, CA, USA. Manufacturer of precision plasma etch systems for nanoscale device fabrication. Provides the MEMS, semiconductor, telecommunications, and data storage industries with a wide range of etching solutions

Tegal Corporation
Triple-O Microscopy
Potsdam, Germany. Manufacturer of Scanning Probe Microscopy components.

TauTec
Columbia, MD, USA. Picosecond Gated Imaging, Fluorescence Lifetime Imaging Microscopy, Real-Time Multifocal Multiphoton Microscopy and Spectroscopy.

Back To Top

Veeco Instruments
Corporate Headquarters - Woodbury, NY, USA "...a leading worldwide manufacturer of metrology tools, and precision Ion Beam Etching and Deposition Process Equipment used in the fabrication of microelectronic components. The Company's global customer base is comprised of leading manufacturers of semiconductors, data storage devices and telecom/wireless components, as well as a broad range of research facilities, which Veeco serves from strategically located facilities in the U.S., Europe and Asia-Pacific."

Veeco Europe

Veeco Japan

Back To Top

Wavefunction
USA. Software for professional modelers and bench chemists.

WITec
Ulm, Germany and Savoy, IL, USA. Manufacturer of high performance equipment for scientific and industrial applications focused on new solutions for Optical and Scanning Probe Microscopy.

Back To Top

Zeiss
Germany/Worldwide. Metrology products - among a wide variety of others.

Zyvex
Zyvex Molecular Nanotechnology research company. Working in the areas of Nanomanipulation, Mechanochemistry, assembler system design, CAD, and others. Interview with James Von Ehr II by John C. Snider



Back To Top

Magazine:

Microscopy and Analysis The international magazine for users of microscopical, analytical and imaging equipment.

Back To Top

Recommended Reading:

Scanning Probe Microscopy (SPM) Imaging Surfaces on a Fine Scale. By John W. Cross

How an AFM works "The tip-sample interaction in atomic force microscopy and its implications for biological applications ", Ph.D. thesis by David Baselt, California Institute of Technology

Brief explanation of Atomic Force Microscope (AFM)

SPM Tips Frequently Asked Questions

Atomic Force Microscope/Scanning Probe Microscopy

Websites of interest to Microscopy and Analysis Readers

Molecular Expressions Microscopy Primer: Introduction to Optical Microscopy, Digital Imaging, and Photomicrography

This page of the WWW-Virtual Library covers all aspects of light microscopy, electron microscopy and other forms of microscopy.

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books

NNN
Barnes&Noble.com




National Space Society

Project Mind
The Hunger Project


blog~nano

Building Gods

Quantum leap

Inapplicable Intuitions