Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > SEMATECH to Demonstrate Advances and Technical Breakthroughs at SPIE 2013: Papers showcase leadership in advancing EUV extendibility and metrology techniques for defect inspection and 3D TSVs

Abstract:
SEMATECH experts will present world-leading research and development results on extreme ultraviolet (EUV) manufacturability and extendibility, alternative lithography, and related areas of metrology at the SPIE Advanced Lithography 2013 conferences taking place February 25-28 at the San Jose Convention Center and Marriott in San Jose, CA.

SEMATECH to Demonstrate Advances and Technical Breakthroughs at SPIE 2013: Papers showcase leadership in advancing EUV extendibility and metrology techniques for defect inspection and 3D TSVs

Albany, NY | Posted on February 19th, 2013

"We are enthusiastic about sharing our progress on some of the most critical aspects of the development of EUV infrastructure," said Stefan Wurm, director of lithography at SEMATECH. "SEMATECH lithographers will recount achievements in multiple areas of EUV to further enable EUVL pilot line readiness and advance EUV extendibility."

SEMATECH engineers will report progress on EUV mask infrastructure, manufacturability, extendibility and metrology, and will showcase some of their findings in over 30 papers and posters demonstrating breakthrough results in exposure tool capability, resist advances, defect-related inspection, e-beam and nanoimprint.

More importantly, the results presented will be instrumental in driving timely creation of the remaining infrastructure required to bring EUV to production. In one area of investigation, technologists from SEMATECH's Mask Blank Development Center will report progress with its multilayer deposition process, including recent defect printability results from an NXE3100 tool with comparison of the imaging to various simulation modeling approaches.

Other SEMATECH papers will showcase advances in metrology techniques, photoresist shrinkage, nanopolishing, scatterometry, through-silicon via (TSV) reveal, transmission electron microscopy (TEM) tomography, critical dimension atomic force microscopy (CD-AFM), critical dimension X-ray scattering (CD-SAXS)—a potential metrology technique for FinFET and 3D memory structures—and a through-focus scanning optical microscopy (TSOM) technique being explored for future defect inspection or to enable high-volume manufacturing of high-aspect ratio features.

Additionally, technologists will present a "big picture" CD metrology gaps analysis, which interrelates the combined results from years of SEMATECH CD metrology studies to summarize the outlook for various tool technologies for different applications.

"We will be showcasing impressive metrology advances achieved through collaborative research, as well as revealing new defect characterization results for EUV mask blanks that form the basis of the technology for SEMATECH's new Nanodefect Center," said Michael Lercel, senior director of nanodefectivity and metrology at SEMATECH.

Among the global semiconductor community's leading gatherings, the SPIE conference series attracts thousands of specialists in various aspects of lithography and related metrology, two of the most challenging areas of advanced microchip production.

For a complete list of SEMATECH presentations at SPIE please visit bit.ly/VHBzTw.

####

About SEMATECH
For over 25 years, SEMATECH®, the international consortium of leading semiconductor device, equipment, and materials manufacturers, has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Through our unwavering commitment to foster collaboration across the nanoelectronics industry, we help our members and partners address critical industry transitions, drive technical consensus, pull research into the industry mainstream, improve manufacturing productivity, and reduce risk and time to market. Information about SEMATECH can be found at www.sematech.org. Twitter: www.twitter.com/sematech

For more information, please click here

Contacts:
Erica McGill
SEMATECH
Media Relations
Phone: 518-649-1041

Copyright © SEMATECH

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Simulating magnetization in a Heisenberg quantum spin chain April 5th, 2024

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Tools

First direct imaging of small noble gas clusters at room temperature: Novel opportunities in quantum technology and condensed matter physics opened by noble gas atoms confined between graphene layers January 12th, 2024

New laser setup probes metamaterial structures with ultrafast pulses: The technique could speed up the development of acoustic lenses, impact-resistant films, and other futuristic materials November 17th, 2023

Ferroelectrically modulate the Fermi level of graphene oxide to enhance SERS response November 3rd, 2023

The USTC realizes In situ electron paramagnetic resonance spectroscopy using single nanodiamond sensors November 3rd, 2023

Events/Classes

Researchers demonstrate co-propagation of quantum and classical signals: Study shows that quantum encryption can be implemented in existing fiber networks January 20th, 2023

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

June Conference in Grenoble, France, to Explore Pathways to 6G Applications, Including ‘Internet of Senses’, Sustainability, Extended Reality & Digital Twin of Physical World: Organized by CEA-Leti, the Joint EuCNC and 6G Summit Sees Telecom Sector as an ‘Enabler for a Sustainabl June 1st, 2022

How a physicist aims to reduce the noise in quantum computing: NAU assistant professor Ryan Behunin received an NSF CAREER grant to study how to reduce the noise produced in the process of quantum computing, which will make it better and more practical April 1st, 2022

Alliances/Trade associations/Partnerships/Distributorships

Manchester graphene spin-out signs $1billion game-changing deal to help tackle global sustainability challenges: Landmark deal for the commercialisation of graphene April 14th, 2023

Chicago Quantum Exchange welcomes six new partners highlighting quantum technology solutions, from Chicago and beyond September 23rd, 2022

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

University of Illinois Chicago joins Brookhaven Lab's Quantum Center June 10th, 2022

Printing/Lithography/Inkjet/Inks/Bio-printing/Dyes

Presenting: Ultrasound-based printing of 3D materials—potentially inside the body December 8th, 2023

Simple ballpoint pen can write custom LEDs August 11th, 2023

Disposable electronics on a simple sheet of paper October 7th, 2022

Newly developed technique to improve quantum dots color conversion performance: Researchers created perovskite quantum dot microarrays to achieve better results in full-color light-emitting devices and expand potential applications June 10th, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project