Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > Atotech and SEMATECH Partner at the UAlbany NanoCollege to Deliver Manufacturable Process Solutions for 3D Integrated Circuits

Abstract:
Collaborative Research Activities to Advance Copper Plating Process Technologies for 3D Interconnects

Atotech and SEMATECH Partner at the UAlbany NanoCollege to Deliver Manufacturable Process Solutions for 3D Integrated Circuits

Albany, NY and Berlin, Germany | Posted on April 15th, 2009

SEMATECH, a global consortium of chip-makers, and global metallization technology provider, Atotech today announced that Atotech has become a member of SEMATECH's 3D Interconnect Program located at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany.

As a member of SEMATECH's 3D program, Atotech will collaborate with SEMATECH in leading-edge research to enable the development of high yield, low cost copper electroplating solutions that will enable void-free filling of high density 3D through-silicon-vias (TSVs).

The new partnership will result in additional Atotech researchers being located at CNSE's Albany NanoTech, where Atotech launched a $5 million research and development program in January 2008 in collaboration with the UAlbany NanoCollege.

Robert Preisser, Vice President of Semiconductor Technology for Atotech, said, "We are excited to be part of the SEMATECH 3D Interconnect program, working with the most advanced 300 mm equipment and technologists in developing this leading-edge technology. By building on its presence at CNSE's world-class Albany NanoTech, Atotech is positioned to make significant contributions to the development production of 3D devices, aiding their rapid adoption into mainstream electronics."

"The goal of SEMATECH's 3D program is to make 3D-TSV both manufacturable and affordable," said John Warlaumont, SEMATECH vice president of advanced technology. "By partnering with Atotech, we have the opportunity to take another major step in developing practical process solutions for 3D TSVs by engaging with a world leader of chip processing technologies."

"Atotech's recognized expertise in developing innovative copper-plating technologies will enhance SEMATECH's 3D Interconnect Program and further strengthen the world-class research and development capabilities at the UAlbany NanoCollege," said Richard Brilla, Vice President for Strategy, Alliances and Consortia at CNSE. "This new collaboration also underscores the success of the SEMATECH-CNSE partnership in accelerating cutting-edge technology development for the world's leading nanoelectronics companies."

Atotech is well known for its innovation and expertise in the area of wafer metallization chemistries, and their participation in SEMATECH's 3D program will be very valuable," said Sitaram Arkalgud, SEMATECH's 3D program director. "SEMATECH engineers are developing leading-edge TSV integration for both die-to-wafer and wafer-to-wafer 3D applications, and we look forward to working with Atotech to deliver cost-effective processes that will accelerate progress toward industry-wide implementation."

Launched two years ago, SEMATECH's 3D IC program has been actively engaging with leading edge equipment and materials suppliers and leveraging their expertise to ready TSV technology. During 2008, in collaboration with the UAlbany NanoCollege, the program began addressing the infrastructure and development challenges in 3D-TSV, including materials characterization, unit processes and integration, equipment hardening, reliability, cost and benefit to device and circuit performance. Eventually, 3D interconnects will provide cost-effective ways to integrate diverse CMOS technologies and chips with emerging technologies such as micro- and nano-electromechanical systems (MEMS, NEMS) and bio-chips.

About Atotech:

A global leader in the field of metallization technologies and chemistries, Atotech has more than 3,000 employees that support the integration and use of metallization chemicals in general metal finishing (GMF), electronics (EC) and semiconductors (SC). Sixteen production and service facilities in the different countries assure a close customer support and short turnaround time. For more information, visit www.atotech.com/.

####

About SEMATECH
For 20 years, SEMATECH® (www.sematech.org) has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.

About CNSE:

The UAlbany CNSE is the first college in the world dedicated to research, development, education, and deployment in the emerging disciplines of nanoscience, nanoengineering, nanobioscience, and nanoeconomics. In May 2007, it was ranked as the world's number one college for nanotechnology and microtechnology in the Annual College Ranking by Small Times magazine. CNSE's Albany NanoTech Complex is the most advanced research enterprise of its kind at any university in the world: a $4.5 billion, 800,000-square-foot megaplex that attracts corporate partners from around the world and offers students a one-of-a-kind academic experience. The UAlbany NanoCollege houses the only fully-integrated, 300mm wafer, computer chip pilot prototyping and demonstration line within 80,000 square feet of Class 1 capable cleanrooms. More than 2,000 scientists, researchers, engineers, students, and faculty work on site at CNSE's Albany NanoTech, from companies including IBM, AMD, SEMATECH, Toshiba, ASML, Applied Materials, Tokyo Electron, Vistec Lithography and Atotech. For more information, visit www.cnse.albany.edu/.

For more information, please click here

Contacts:
SEMATECH
Erica McGill
518-956-7446

Copyright © Business Wire 2009

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Researchers develop artificial building blocks of life March 8th, 2024

How surface roughness influences the adhesion of soft materials: Research team discovers universal mechanism that leads to adhesion hysteresis in soft materials March 8th, 2024

Two-dimensional bimetallic selenium-containing metal-organic frameworks and their calcinated derivatives as electrocatalysts for overall water splitting March 8th, 2024

Curcumin nanoemulsion is tested for treatment of intestinal inflammation: A formulation developed by Brazilian researchers proved effective in tests involving mice March 8th, 2024

Chip Technology

New chip opens door to AI computing at light speed February 16th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

NRL discovers two-dimensional waveguides February 16th, 2024

Announcements

What heat can tell us about battery chemistry: using the Peltier effect to study lithium-ion cells March 8th, 2024

Curcumin nanoemulsion is tested for treatment of intestinal inflammation: A formulation developed by Brazilian researchers proved effective in tests involving mice March 8th, 2024

The Access to Advanced Health Institute receives up to $12.7 million to develop novel nanoalum adjuvant formulation for better protection against tuberculosis and pandemic influenza March 8th, 2024

Nanoscale CL thermometry with lanthanide-doped heavy-metal oxide in TEM March 8th, 2024

Alliances/Trade associations/Partnerships/Distributorships

Manchester graphene spin-out signs $1billion game-changing deal to help tackle global sustainability challenges: Landmark deal for the commercialisation of graphene April 14th, 2023

Chicago Quantum Exchange welcomes six new partners highlighting quantum technology solutions, from Chicago and beyond September 23rd, 2022

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

University of Illinois Chicago joins Brookhaven Lab's Quantum Center June 10th, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project