Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > 20 Electronics Industry Leaders Collaborate to Accelerate Development and Adoption of Design for e-beam Technology

Abstract:
eBeam Initiative Aims to Increase Design Starts and Reduce Time-to-market in Semiconductor Industry

20 Electronics Industry Leaders Collaborate to Accelerate Development and Adoption of Design for e-beam Technology

San Jose, CA | Posted on February 25th, 2009

A group of leading companies throughout the electronics industry today announced the launch of the eBeam Initiative -- a forum dedicated to the education and promotion of an innovative, new design-to-manufacturing approach known as design for e-beam (DFEB). By enabling a decrease in mask costs for semiconductor devices, DFEB is expected to ultimately result in an increased number of design starts and reduced time-to-market for a wide range of semiconductor devices.

Charter members in the new initiative, which span the entire semiconductor ecosystem, include: Advantest, Alchip Technologies, Altos Design Automation, Cadence Design Systems, CEA/Leti, D2S, Dai Nippon Printing, e-Shuttle, eSilicon Corporation, Fastrack Design, Fujitsu Microelectronics, Magma Design Automation, Tela Innovations, Toppan Printing, Virage Logic and Vistec Electron Beam Lithography Group. The initiative also includes representatives from the design community who will serve in an advisory capacity, including: Marty Deneroff, director of engineering at D. E. Shaw Research; Jack Harding, chairman, president and CEO of eSilicon; Colin Harris, chief operating officer (COO) of PMC-Sierra; Riko Radojcic, principal engineer and manager at Qualcomm; and Jean-Pierre Geronimi, director of computer-aided design (CAD) at STMicroelectronics. The formal steering group for the eBeam Initiative consists of Advantest, CEA/Leti, D2S, e-Shuttle, Fujitsu Microelectronics and Vistec, with D2S serving as the managing sponsor.

Pressing Industry Need for the eBeam Initiative

The rising cost trends of advanced ICs show no signs of slowing down unless an entirely new manufacturing approach is adopted. With mask budgets doubling at every node, the application range and market for low-volume application-specific integrated circuits (ASICs) continue to shrink--challenging the future profitability of many applications. Without the need to rely on a lithography shift, DFEB maximizes and enhances current e-beam technology. By efficiently employing the e-beam direct-write (EbDW) approach, DFEB eliminates the cost of masks and can speed time-to-market by shortening the design-to-lithography process flow.

In addition to the obvious advantages this will deliver to systems companies seeking early prototypes for testing, this type of DFEB approach can also dramatically impact a host of specific application fields, including low- to mid-volume semiconductor companies producing test chips, engineering samples and design derivatives.

With members representing the entire value chain, from intellectual property (IP) and electronic design automation (EDA) companies to semiconductor manufacturers and equipment makers, system design companies and research entities, service companies and mask makers, the initiative is expected to greatly accelerate production-oriented EbDW technology using DFEB.

"Through successful collaboration, we will be able to share and educate the industry on the myriad benefits afforded by this new maskless manufacturing approach," stated Aki Fujimura, CEO of D2S. "Currently, the total available mask budget in the industry is extremely cost prohibitive. With DFEB, however, there will be a reduction in mask costs while enabling a larger variety of lower-volume SoCs."

Colin Harris, COO of PMC-Sierra and an advisor for the eBeam Initiative, noted, "The growing interest we're witnessing among many of today's leaders demonstrates the strong potential DFEB holds to address the industry's growing mask costs. By enabling a lower threshold to tapeout we will be able to adopt new technology nodes earlier and better target products for lower power and higher performance."

Early Results Validate DFEB's Success

Various eBeam Initiative members have already collaborated to validate maskless manufacturing with successful test wafers for the 45-nm and 32-nm nodes.

A related paper titled "Cell Projection Use in Maskless Lithography for 45-nm and 32-nm Logic Nodes" will be presented in Session 5: EBDW at 2:20 p.m., Tuesday, February 24, during SPIE's Advanced Lithography Conference held in the San Jose McEnery Convention Center.

About Design for e-beam (DFEB)

DFEB is a design-to-manufacturing approach to enhance the throughput of e-beam (EB) lithographic exposure. DFEB uses character or cell projection (CP) technology combined with design and software techniques to reduce a design's required shot count, resulting in increased CP e-beam direct-write (EbDW) throughput. A new technology backgrounder on DFEB is available on the eBeam Initiative website, www.ebeam.org.

About The eBeam Initiative

The eBeam Initiative provides a forum for educational and promotional activities regarding a new design-to-manufacturing approach, known as design for e-beam (DFEB). DFEB reduces mask costs for semiconductor devices by combining design, design software, manufacturing, manufacturing equipment and manufacturing software expertise. The goals of the Initiative are to reduce the barriers to adoption to enable more integrated circuit (IC) design starts and faster time-to-market while increasing the investment in DFEB throughout the semiconductor ecosystem. Members, advisors and the steering group, which span the semiconductor ecosystem, include: Advantest, Alchip Technologies, Altos Design Automation, Cadence Design Systems, CEA/Leti, D2S, Dai Nippon Printing, D. E. Shaw Research, e-Shuttle, eSilicon Corporation, Fastrack Design, Fujitsu Microelectronics, Magma Design Automation, PMC-Sierra, Qualcomm, STMicroelectronics, Tela Innovations, Toppan Printing, Virage Logic and Vistec Electron Beam Lithography Group. Membership is open to all companies and institutions throughout the electronics industry. To find out more, please visit www.ebeam.org.

####

For more information, please click here

Copyright © PR Newswire Association LLC.

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Simulating magnetization in a Heisenberg quantum spin chain April 5th, 2024

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Alliances/Trade associations/Partnerships/Distributorships

Manchester graphene spin-out signs $1billion game-changing deal to help tackle global sustainability challenges: Landmark deal for the commercialisation of graphene April 14th, 2023

Chicago Quantum Exchange welcomes six new partners highlighting quantum technology solutions, from Chicago and beyond September 23rd, 2022

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

University of Illinois Chicago joins Brookhaven Lab's Quantum Center June 10th, 2022

Research partnerships

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Researchers’ approach may protect quantum computers from attacks March 8th, 2024

How surface roughness influences the adhesion of soft materials: Research team discovers universal mechanism that leads to adhesion hysteresis in soft materials March 8th, 2024

'Sudden death' of quantum fluctuations defies current theories of superconductivity: Study challenges the conventional wisdom of superconducting quantum transitions January 12th, 2024

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project