Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > CEA-Leti to Present 21 Papers at Photonics West & Unveil its Latest Research on Greater Photonics-Electronics and Software Convergence: Optics and Si-Photonics Teams Will Explain Transfer-Ready Solutions For Wavelength Imaging and Other Applications at Leti Booth, Feb. 5-7

Abstract:
Leti, an institute of CEA-Tech, will present eight invited papers, 21 in total, at Photonics West 2019 in San Francisco, and unveil its latest research on improved photonics-electronics and software convergence at a Feb. 6 workshop.

CEA-Leti to Present 21 Papers at Photonics West & Unveil its Latest Research on Greater Photonics-Electronics and Software Convergence: Optics and Si-Photonics Teams Will Explain Transfer-Ready Solutions For Wavelength Imaging and Other Applications at Leti Booth, Feb. 5-7

Grenoble, France | Posted on February 1st, 2019

From Feb. 5-7 in booth #959B, CEA-Leti’s multidisciplinary optics-and-photonics teams will introduce their latest ready-to-be-transferred solutions for all-wavelength imaging – visible, infrared, THz – as well as information displays, solid-state lighting, optical data communications, optical sensors, and more.



For the fourth consecutive year, Leti will host a photonics workshop and networking-cocktail reception for invited guests during Photonics West. Beginning at 5:30 pm, Feb. 6 in the W-Hotel, Leti will unveil its latest research towards greater photonics-electronics and software convergence.



Workshop Topics

· Introduction – Ludovic Poupinet, Head of CEA-Leti’s Optics & Photonics Division

· Next Generation Disruptive Adaptive Driving Headlight Technology –
Jy Bhardwaj, Chief Technology Officer, Lumileds

· Smart Retina for Edge Intelligence – Marc Duranton, CEA Fellow, CEA-Leti’s Architecture, IC Design & Embedded Software Division

· Towards Smart Miniaturized LIDAR – Eleonore Hardy, CEA-Leti
Business Developer, Silicon Photonics

· On-Chip Optical Sensing for Chemical Trace Detection – Sergio Nicoletti, CEA-Leti Business Developer, Optical Sensors

· A Solution Towards Large-Area MicroLED Displays – François Templie, CEA-Leti Strategic Marketing Manager for Displays

· Curved Image Sensor: A Minor Change for Great Benefits – Alexis Rochas, CEA-Leti Business Developer, Visible Imaging



Photonics West Papers



Saturday, Feb 2

· Fibre grating coupler development for Si-photonics process design kits at CEA-Leti (Invited) 9:20 am ROOM 152 (SOUTH UPPER MEZZANINE)



· Time-resolved optical monitoring to detect and identify deep flaps (Invited) 10:30 am ROOM 54 (SOUTH LOWER MEZZANINE)



· Surprisingly simple and compact microscope for time-lapse phase and fluorescence imaging based on chromatic aberration 2:30 pm ROOM 210 (SOUTH LEVEL TWO)



· Quantitative phase imaging of adherent mammalian cells: a comparison of three different techniques 2:50 pm ROOM 210 (SOUTH LEVEL TWO)



Sunday, Feb. 3

· Photoacoustic cell on silicon for mid-infrared QCL-based spectroscopic analysis (Invited) 1:30 pm ROOM 205 (SOUTH LEVEL TWO)



Monday, Feb. 4

· Green InGaN/GaN based LEDs: high luminance and blue shift 8:45 am ROOM 314 (SOUTH LEVEL THREE)



· Statistical study of blood cells population by very wide-field phase/fluorescence imaging 1:20 pm ROOM 159 (SOUTH UPPER MEZZANINE)



· Optical frequency comb generation using annealing-free Si3N4 films for front-end monolithic integration with Si photonics 2:30 pm ROOM 105 (LOBBY LEVEL SOUTH)



· Laser cooling of solids: towards biomedical applications 2:30 pm ROOM 58 (SOUTH LOWER MEZZANINE)



Tuesday, Feb. 5

· Advances on GeSn-based light emitters and photodetectors for mid-IR photonics (Invited) 8:20 am ROOM 152 (SOUTH UPPER MEZZANINE)



· Miniaturization of mid-IR sensors on Si: challenges and perspectives (Invited) 9:00 am ROOM 153 (SOUTH UPPER MEZZANINE)



· Subwavelength cavity optomechanics 11:40 am ROOM 153 (SOUTH UPPER MEZZANINE)



· Advances on large-scale integration CMOS compatible hybrid III-V/Si laser on 200mm platform (Invited) 11:45 am ROOM 152 (SOUTH UPPER MEZZANINE)



· Multiplexed pixelated hologram recording process for retinal projection device 1:40 pm ROOM 72 (SOUTH LOWER MEZZANINE)



· InGaN/GaN μLED SPICE modelling with size-dependent ABC model integration 2:50 pm ROOM 311 (SOUTH LEVEL THREE)



Wednesday, Feb. 6

· Technological advances on Si and Si3N4 low-loss waveguide platforms for nonlinear and quantum optics applications 8:30 am ROOM 213 (SOUTH LEVEL TWO)



· Integrated optical network design for a retinal projection concept based on single-mode SiN waveguides at 532 nm 8:40 am ROOM 105 (LOBBY LEVEL SOUTH)



· Atomic-scale engineering of amorphous Ge-Sb-S-Se-Te chalcogenide thin films for nonlinear optics in the mid-infrared 10:30 am ROOM 105 (LOBBY LEVEL SOUTH)



· Single-mode lasing in strained Ge microbridges (Invited) 10:30 am ROOM 153 (SOUTH UPPER MEZZANINE)



Thursday, Feb. 7

· Development of a 360° display based on transparent projection surface: application to a theatrical performance 9:00 am ROOM 151 (SOUTH UPPER MEZZANINE)



· Advanced solutions for high-performance GaN MicroLED displays (Invited) 9:30 am ROOM 314 (SOUTH LEVEL THREE)

####

About Leti
Leti, a technology research institute at CEA Tech, is a global leader in miniaturization technologies enabling smart, energy-efficient and secure solutions for industry. Founded in 1967, Leti pioneers micro-& nanotechnologies, tailoring differentiating applicative solutions for global companies, SMEs and startups. CEA-Leti tackles critical challenges in healthcare; Leti’s multidisciplinary teams deliver solid expertise, leveraging world-class pre-industrialization facilities. With a staff of more than 1,900, a portfolio of 2,700 patents, 91,500 sq. ft. of cleanroom space and a clear IP policy, the institute is based in Grenoble, France, and has offices in Silicon Valley and Tokyo. CEA-Leti has launched 60 startups and is a member of the Carnot Institutes network. This year, the institute celebrates its 50th anniversary. Follow us on www.leti-cea.com and @CEA_Leti.

CEA Tech is the technology research branch of the French Alternative Energies and Atomic Energy Commission (CEA), a key player in innovative R&D, defence & security, nuclear energy, technological research for industry and fundamental science, identified by Thomson Reuters as the second most innovative research organization in the world. CEA Tech leverages a unique innovation-driven culture and unrivalled expertise to develop and disseminate new technologies for industry, helping to create high-end products and provide a competitive edge.

For more information, please click here

Contacts:
Press Contact

Agency

+33 6 74 93 23 47

Copyright © Leti

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Researchers develop artificial building blocks of life March 8th, 2024

How surface roughness influences the adhesion of soft materials: Research team discovers universal mechanism that leads to adhesion hysteresis in soft materials March 8th, 2024

Two-dimensional bimetallic selenium-containing metal-organic frameworks and their calcinated derivatives as electrocatalysts for overall water splitting March 8th, 2024

Curcumin nanoemulsion is tested for treatment of intestinal inflammation: A formulation developed by Brazilian researchers proved effective in tests involving mice March 8th, 2024

Possible Futures

Two-dimensional bimetallic selenium-containing metal-organic frameworks and their calcinated derivatives as electrocatalysts for overall water splitting March 8th, 2024

Curcumin nanoemulsion is tested for treatment of intestinal inflammation: A formulation developed by Brazilian researchers proved effective in tests involving mice March 8th, 2024

The Access to Advanced Health Institute receives up to $12.7 million to develop novel nanoalum adjuvant formulation for better protection against tuberculosis and pandemic influenza March 8th, 2024

Nanoscale CL thermometry with lanthanide-doped heavy-metal oxide in TEM March 8th, 2024

Chip Technology

New chip opens door to AI computing at light speed February 16th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

NRL discovers two-dimensional waveguides February 16th, 2024

Optical computing/Photonic computing

Optically trapped quantum droplets of light can bind together to form macroscopic complexes March 8th, 2024

New chip opens door to AI computing at light speed February 16th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

NRL discovers two-dimensional waveguides February 16th, 2024

Announcements

What heat can tell us about battery chemistry: using the Peltier effect to study lithium-ion cells March 8th, 2024

Curcumin nanoemulsion is tested for treatment of intestinal inflammation: A formulation developed by Brazilian researchers proved effective in tests involving mice March 8th, 2024

The Access to Advanced Health Institute receives up to $12.7 million to develop novel nanoalum adjuvant formulation for better protection against tuberculosis and pandemic influenza March 8th, 2024

Nanoscale CL thermometry with lanthanide-doped heavy-metal oxide in TEM March 8th, 2024

Events/Classes

Researchers demonstrate co-propagation of quantum and classical signals: Study shows that quantum encryption can be implemented in existing fiber networks January 20th, 2023

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

June Conference in Grenoble, France, to Explore Pathways to 6G Applications, Including ‘Internet of Senses’, Sustainability, Extended Reality & Digital Twin of Physical World: Organized by CEA-Leti, the Joint EuCNC and 6G Summit Sees Telecom Sector as an ‘Enabler for a Sustainabl June 1st, 2022

How a physicist aims to reduce the noise in quantum computing: NAU assistant professor Ryan Behunin received an NSF CAREER grant to study how to reduce the noise produced in the process of quantum computing, which will make it better and more practical April 1st, 2022

Photonics/Optics/Lasers

Nanoscale CL thermometry with lanthanide-doped heavy-metal oxide in TEM March 8th, 2024

Optically trapped quantum droplets of light can bind together to form macroscopic complexes March 8th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

A battery’s hopping ions remember where they’ve been: Seen in atomic detail, the seemingly smooth flow of ions through a battery’s electrolyte is surprisingly complicated February 16th, 2024

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project