Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > SEMATECH to Showcase Advances in EUV Extendibility and Metrology Techniques for Defect Inspection at SPIE 2014: Papers demonstrate leadership in the development of EUV lithography infrastructure and mask and resist technology

Abstract:
SEMATECH experts will present world-leading research and development results on extreme ultraviolet (EUV) manufacturability and extendibility, and related areas of metrology at the SPIE Advanced Lithography 2014 conferences taking place February 23-27 in San Jose, CA.

SEMATECH to Showcase Advances in EUV Extendibility and Metrology Techniques for Defect Inspection at SPIE 2014: Papers demonstrate leadership in the development of EUV lithography infrastructure and mask and resist technology

Albany, NY | Posted on February 20th, 2014

Among the global semiconductor community's leading gatherings, the SPIE conference series attracts thousands of specialists in various aspects of lithography and related metrology, two of the most challenging areas of advanced microchip production.

"Although serious challenges remain to further enable EUV pilot line readiness and advance EUV extendibility, steady progress has been realized and we are looking forward to sharing our results on some of the most critical aspects of the development of EUV infrastructure," said Michael Lercel, director of Lithography, Metrology, and Nanodefectivity at SEMATECH. "SEMATECH lithographers will recount achievements in multiple areas of EUV infrastructure, including resist and mask blank development, and the results presented will be instrumental in driving timely creation of the remaining infrastructure required to bring EUV to production."

Next to meeting EUV productivity targets, defect-free EUV mask blanks are the most important element needed to enable EUV HVM introduction. SEMATECH's mask blank technologists will share the progress made at SEMATECH's Mask Blank Development Center in eliminating so-called "killer-type" mask blanks defects. Achieving this goal is critical to enable early adopters of EUV lithography.

The development of resist materials depends on the availability of high resolution micro-exposure tools. SEMATECH researchers will report on current performance and progress in upgrading these tools with the higher numerical aperture lenses needed to meet requirements for sub 10 nm resist materials development. In addition, recent results from SEMATECH's new imaging materials platform research will be reported.

In an invited paper addressing key infrastructure gaps for EUV in the area of mask metrology, Carl Zeiss and SEMATECH will share the results from the integration of the industry's first-ever commercial actinic aerial image metrology (AIMS™) EUV system.

"In partnership with Carl Zeiss, SEMATECH's EUV Mask Infrastructure initiative has made impressive advances through collaborative research with chip manufacturers. The AIMS™ tool is already producing mask-scale aerial images for 16 nm half-pitch node," said Michael Goldstein, EMI program manager and senior principal physicist at SEMATECH. "These results demonstrate that significant progress is being made in the development of critical metrology tools required to fabricate defect-free masks."

Other SEMATECH papers will showcase advances in metrology techniques and applications, including critical dimension atomic force microscopy (CD-AFM), critical dimension X-ray scattering (CD-SAXS), 3D characterization of directed self-assembly (DSA) by scatterometry, and a through-focus scanning optical microscopy (TSOM) technique being explored for future defect inspection and high-volume manufacturing of high-aspect ratio features. Critical dimension scanning electron microscopy (CD-SEM) limits and extendibility will also be analyzed, as well as improvements to CD-SEM roughness measurement strategy.

For a complete list of these and other presentations at SPIE please visit bit.ly/1eKaYgO.

####

About SEMATECH
For over 25 years, SEMATECH®, the international consortium of leading semiconductor device, equipment, and materials manufacturers, has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Through our unwavering commitment to foster collaboration across the nanoelectronics industry, we help our members and partners address critical industry transitions, drive technical consensus, pull research into the industry mainstream, improve manufacturing productivity, and reduce risk and time to market.

For more information, please click here

Contacts:
Erica McGill
SEMATECH
Marketing Communications
O: 518-649-1041

Copyright © SEMATECH

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Simulating magnetization in a Heisenberg quantum spin chain April 5th, 2024

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Events/Classes

Researchers demonstrate co-propagation of quantum and classical signals: Study shows that quantum encryption can be implemented in existing fiber networks January 20th, 2023

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

June Conference in Grenoble, France, to Explore Pathways to 6G Applications, Including ‘Internet of Senses’, Sustainability, Extended Reality & Digital Twin of Physical World: Organized by CEA-Leti, the Joint EuCNC and 6G Summit Sees Telecom Sector as an ‘Enabler for a Sustainabl June 1st, 2022

How a physicist aims to reduce the noise in quantum computing: NAU assistant professor Ryan Behunin received an NSF CAREER grant to study how to reduce the noise produced in the process of quantum computing, which will make it better and more practical April 1st, 2022

Alliances/Trade associations/Partnerships/Distributorships

Manchester graphene spin-out signs $1billion game-changing deal to help tackle global sustainability challenges: Landmark deal for the commercialisation of graphene April 14th, 2023

Chicago Quantum Exchange welcomes six new partners highlighting quantum technology solutions, from Chicago and beyond September 23rd, 2022

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

University of Illinois Chicago joins Brookhaven Lab's Quantum Center June 10th, 2022

Printing/Lithography/Inkjet/Inks/Bio-printing/Dyes

Presenting: Ultrasound-based printing of 3D materials—potentially inside the body December 8th, 2023

Simple ballpoint pen can write custom LEDs August 11th, 2023

Disposable electronics on a simple sheet of paper October 7th, 2022

Newly developed technique to improve quantum dots color conversion performance: Researchers created perovskite quantum dot microarrays to achieve better results in full-color light-emitting devices and expand potential applications June 10th, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project