Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > International Symposium on EUV Lithography and Lithographic Extentions showcase potential technical solutions and explore innovative alternative patterning technologies

Abstract:
EUVL continues to maintain the lithography roadmap for the next several technology nodes, and directed self-assembly is gaining momentum.

International Symposium on EUV Lithography and Lithographic Extentions showcase potential technical solutions and explore innovative alternative patterning technologies

Miami, FL | Posted on November 1st, 2011

As reported at the 2011 International Extreme Ultraviolet Lithography (EUVL) and Lithography Extensions (LE) Symposia, Oct. 17-21, in Miami, FL SEMATECH engineers and the industry at large continue to evolve the infrastructure that will enable lithography for cost-effective manufacturing. This year's EUVL symposium was co-organized by SEMATECH in cooperation with EIDEC and IMEC; the Lithography Extensions Symposium was held in cooperation with IMEC.
The week-long duo of lithography events attracted a record-breaking attendance of over 550 top experts and researchers discussing progress on extending current technologies while building the infrastructure for future solutions. A combined set of 86 technical papers and 79 posters reported steady, measured progress in many key areas. Presenters additionally highlighted various technology, infrastructure, and business challenges that the industry needs to address to successfully insert EUVL into manufacturing at the 22 nm half-pitch node.
Progress reported at the EUVL symposium included EUV scanners being shipped over the past year and pilot lines being ramped-up to enable first product use on critical layers as early as 2013. With chip manufacturers integrating EUVL technology into their fabs, the industry is now focused on resolving the remaining challenges to EUVL high volume manufacturing. This was evident from the paper and poster topics, the majority of which were related to manufacturing introduction and addressing remaining engineering challenges:

· The EUVL symposium plenary address "Transform Designs to Chips with Sub-20nm Technologies" by Joshua Li of NVIDIA highlighted the design-driven challenges for lithography technologies to meet fabless company device performance and cost targets for sub-20 nm manufacturing.

· The EUVL symposium keynote address "EUV Readiness and ASML NXE3100 Performance" by Han-Ku Cho of Samsung assessed the pilot line readiness of EUV lithography and outlined the time-table and performance requirements needed to introduce the technology into high volume manufacturing (HVM) for DRAM products in 2013.

· Blank and mask makers continue to reduce mask defects, and IC makers including GLOBALFOUNDRIES, IBM, Intel, Toshiba, and TSMC are demonstrating progress in developing defect avoidance and mitigation strategies that will allow them to use masks with a few residual defects. Current mask defect levels are soon expected to support DRAM for pilot line operation, while lower mask defect levels are required to meet logic/foundry requirements.

· Over the past year, the industry has put programs in place that will close the infrastructure gaps for EUV blank/mask inspection and defect review by 2014/15; most notably is the development of an AIMS tool led by SEMATECH through the EUV Mask Infrastructure partnership.

· Several chemically amplified resist (CAR) materials achieving sub-20 nm resolutions were demonstrated by researchers from the member companies in SEMATECH's Resist Program. A 15 nm half-pitch resolving CAR was exposed on SEMATECH's microexposure tool (MET) at Lawrence Berkeley National Laboratory by JSR, and a nanoparticle resist material developed in a SEMATECH research program with Cornell University has demonstrated mid-20 nm half-pitch resolution at excellent photosensitivity on SEMATECH's MET in Albany, NY.

· SEMATECH researchers and research partners highlighted the key role the consortium has played in achieving significant advances in the fundamental understanding and reduction of mask blank defects in mask manufacturing and use as well as in achieving breakthroughs in EUV resist materials development.

· Emily Gallagher of IBM won the best paper award for her presentation on EUV mask readiness, "EUV Masks: Ready or Not?" The best poster award winner was Hyung-Cheol Lee et al., from Hanyang University for their work on "Realistic Thermal Effect of Extreme Ultraviolet Pellicle."

· Lastly, the EUVL Symposium Steering Committee identified three remaining focus areas that the industry must work on to enable EUVL manufacturing insertion:

1. Long-term reliable source operation with 200 W at intermediate focus

2. Mask yield and defect inspection/review infrastructure

3. Simultaneous achievement of resist resolution, sensitivity, and LER

The Lithography Extensions Symposium included innovative patterning techniques that have the potential to cost-effectively extend resolution capabilities.
Key highlights include the following:

· Directed self-assembly (DSA) is making significant progress towards potential commercial application in semiconductor manufacturing. A variety of techniques including chemo-epitaxy, graphoepitaxy, and spin-on spacer, have been demonstrated as potential DSA-based patterning approaches. Many resist and chemical suppliers, including AZ, JSR, and DOW, have active development activities both internally and with research partners.

· IBM reported on the application of DSA to improve the patterning capability of existing lithography systems through contact hole rectification. Initial defectivity assessments indicate that the current measured defectivity is similar to what was initially seen during the development of immersion lithography.

· Best paper award winner Cliff Henderson of Georgia Tech highlighted significant progress toward developing of a mesoscale model to accurately predict material interactions, which is needed to identify materials for DSA applications. Such predictive models will help design more effective DSA systems without time-consuming experimentation.

· Matt Malloy of SEMATECH's Nanoimprint program summarized achievements over the past 3 years, including demonstrating an overlay capability of 15 nm and imprint process defectivity of <0.1 def/cm2. This highlights the potential for achieving defect levels commensurate with manufacturing requirements.

The 2011 International EUVL and Lithography Extensions Symposia are central elements of the SEMATECH Knowledge Series - a set of public, single-focused industry meetings designed to increase global knowledge in key areas of semiconductor R&D - and represent a great success in the history of SEMATECH-sponsored conferences as these technologies have evolved from tabletop experiments to fully adopted high volume manufacturing over the past half dozen years.

####

About SEMATECH
For over 20 years, SEMATECH® (www.sematech.org), the international consortium of leading semiconductor manufacturers, has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.

For more information, please click here

Contacts:
Erica McGill
SEMATECH
Media Relations
257 Fuller Road, Suite 2200
Albany, NY 12203
o: 518-649-1041
m: 518-487-8256

Copyright © SEMATECH

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Simulating magnetization in a Heisenberg quantum spin chain April 5th, 2024

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Possible Futures

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

With VECSELs towards the quantum internet Fraunhofer: IAF achieves record output power with VECSEL for quantum frequency converters April 5th, 2024

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Self Assembly

Liquid crystal templated chiral nanomaterials October 14th, 2022

Nanoclusters self-organize into centimeter-scale hierarchical assemblies April 22nd, 2022

Atom by atom: building precise smaller nanoparticles with templates March 4th, 2022

Nanostructures get complex with electron equivalents: Nanoparticles of two different sizes break away from symmetrical designs January 14th, 2022

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Events/Classes

Researchers demonstrate co-propagation of quantum and classical signals: Study shows that quantum encryption can be implemented in existing fiber networks January 20th, 2023

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

June Conference in Grenoble, France, to Explore Pathways to 6G Applications, Including ‘Internet of Senses’, Sustainability, Extended Reality & Digital Twin of Physical World: Organized by CEA-Leti, the Joint EuCNC and 6G Summit Sees Telecom Sector as an ‘Enabler for a Sustainabl June 1st, 2022

How a physicist aims to reduce the noise in quantum computing: NAU assistant professor Ryan Behunin received an NSF CAREER grant to study how to reduce the noise produced in the process of quantum computing, which will make it better and more practical April 1st, 2022

Nanobiotechnology

New micromaterial releases nanoparticles that selectively destroy cancer cells April 5th, 2024

Good as gold - improving infectious disease testing with gold nanoparticles April 5th, 2024

Researchers develop artificial building blocks of life March 8th, 2024

Curcumin nanoemulsion is tested for treatment of intestinal inflammation: A formulation developed by Brazilian researchers proved effective in tests involving mice March 8th, 2024

Alliances/Trade associations/Partnerships/Distributorships

Manchester graphene spin-out signs $1billion game-changing deal to help tackle global sustainability challenges: Landmark deal for the commercialisation of graphene April 14th, 2023

Chicago Quantum Exchange welcomes six new partners highlighting quantum technology solutions, from Chicago and beyond September 23rd, 2022

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

University of Illinois Chicago joins Brookhaven Lab's Quantum Center June 10th, 2022

Printing/Lithography/Inkjet/Inks/Bio-printing/Dyes

Presenting: Ultrasound-based printing of 3D materials—potentially inside the body December 8th, 2023

Simple ballpoint pen can write custom LEDs August 11th, 2023

Disposable electronics on a simple sheet of paper October 7th, 2022

Newly developed technique to improve quantum dots color conversion performance: Researchers created perovskite quantum dot microarrays to achieve better results in full-color light-emitting devices and expand potential applications June 10th, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project