Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > SEMATECH to Showcase Advanced Technologies and Manufacturing Methods at SEMICON West 2011: Presentations and public workshops will share data, strategies, and best practices in advanced materials, lean manufacturing methods, and 3D interconnects

Abstract:
Through a wide range of lectures and workshop sessions, SEMATECH and International SEMATECH Manufacturing Initiative (ISMI) will present a variety of technology solutions and manufacturing methods from July 11-15 at SEMICON West in San Francisco, CA.

SEMATECH to Showcase Advanced Technologies and Manufacturing Methods at SEMICON West 2011: Presentations and public workshops will share data, strategies, and best practices in advanced materials, lean manufacturing methods, and 3D interconnects

Albany, NY | Posted on June 22nd, 2011

SEMATECH and ISMI experts will report their latest advances in new materials and device structures and lithography with a special focus on addressing key opportunities and challenges in 3D interconnect technology.

"Both SEMATECH and ISMI recognize that the industry needs innovative and practical solutions for continued scaling of semiconductor technologies that can easily be incorporated into real-world manufacturing environments," said Dan Armbrust, president and CEO, SEMATECH. "This year's SEMICON West will be an important forum for our industry to come together and find best ways to address complex technology challenges. We look forward to sharing our technical knowledge and best practices to help drive our industry forward."

Several SEMATECH experts are scheduled to speak on the SEMICON West TechXPOT Stage, in the North and South Halls of the Moscone Center, including:

· Raj Jammy, SEMATECH's vice president of Emerging Technologies, "Heterogeneous Integration of High Mobility Ge/III-V Channels on Si," July 12 at 11 a.m.

· David Gilmer, SEMATECH's project engineer of Advanced Memory Technologies, "Metal-Oxide based RRAM Materials and Development," July 12 at 11:30 a.m.

· Stefan Wurm, SEMATECH's associate director of Lithography, "EUV Mask Infrastructure (EMI) Partnership," July 13 at 11:05 a.m.

· Sitaram Arkalgud, SEMATECH's director of Interconnect, will co-moderate panel session 1 in the 3D in the Deep Submicron Era, July 13 at 1:50 p.m.

· Chris Hobbs, SEMATECH's CMOS scaling program manager of Front End Process, "Non-Planar CMOS Device Challenges and Opportunities," July 14 at the NCCAVS Advanced Process and Integration in Semiconductor Technologies session.

Additionally, SEMATECH and ISMI experts will host and present at various public workshops, at the Marriott Marquis, during SEMICON West:

· Equipment suppliers will identify opportunities and bridge understandings on enabling 3D technology in the wafer handling process space at the workshop Enabling 3D: Temporary Bonding Workshop on July 11 at 1 p.m.

· Equipment suppliers and end users will meet to address topics such as SEMI S23 reporting and goals, applying high temperature process cooling water on process tools, and idle mode interface for process equipment subsystems at the ISMI Equipment Energy Workshop on July 12 at 8 a.m.

· Equipment suppliers will share their plans on how new and existing wafer metrology technologies can be used, modified, or enhanced to measure and improve 3D interconnect processes at SEMATECH's 3D Metrology Workshop on July 13 at 12:00 p.m.

· Co-sponsored by SEMI and ISMI, the EDA Workshop will focus on the equipment data acquisition (EDA) interface requirements and implementation for the 0710 standards freeze level. Participants will be able to discuss with industry experts how the changes for the new freeze level can be implemented and evaluated on July 13 at 1 p.m.

· A day-long preview of this year's International Technology Roadmap for Semiconductors will be offered at the Summer ITRS Public Conference on July 13.

· Hosted by SEMATECH, in collaboration with Fraunhofer IZFP, the fifth workshop on Stress Management for 3D ICs using Through Silicon Vias will discuss product-level reliability, including product qualification, product level test requirements, and failure analysis on July 14 at 9 a.m.

· Co-organizing and/or speaking at various SEMI standards workshops in 3D interconnect, lithography, and manufacturing.

Some of SEMATECH's and ISMI's most prominent technologists in the nanoelectronics industry will be attending SEMICON West. To arrange for meeting attendance or interviews with executives and technical experts, please contact

####

About SEMATECH
For over 20 years, SEMATECH® (www.sematech.org), the international consortium of leading semiconductor manufacturers, has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.
Twitter: www.twitter.com/sematechnews

For more information, please click here

Contacts:
Erica McGill
SEMATECH
Media Relations
257 Fuller Road | Suite 2200
Albany, NY | 12203
o: 518-649-1041
m: 518-487-8256

Copyright © SEMATECH

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Simulating magnetization in a Heisenberg quantum spin chain April 5th, 2024

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Memory Technology

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

Interdisciplinary: Rice team tackles the future of semiconductors Multiferroics could be the key to ultralow-energy computing October 6th, 2023

Researchers discover materials exhibiting huge magnetoresistance June 9th, 2023

Rensselaer researcher uses artificial intelligence to discover new materials for advanced computing Trevor Rhone uses AI to identify two-dimensional van der Waals magnets May 12th, 2023

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Tools

First direct imaging of small noble gas clusters at room temperature: Novel opportunities in quantum technology and condensed matter physics opened by noble gas atoms confined between graphene layers January 12th, 2024

New laser setup probes metamaterial structures with ultrafast pulses: The technique could speed up the development of acoustic lenses, impact-resistant films, and other futuristic materials November 17th, 2023

Ferroelectrically modulate the Fermi level of graphene oxide to enhance SERS response November 3rd, 2023

The USTC realizes In situ electron paramagnetic resonance spectroscopy using single nanodiamond sensors November 3rd, 2023

Alliances/Trade associations/Partnerships/Distributorships

Manchester graphene spin-out signs $1billion game-changing deal to help tackle global sustainability challenges: Landmark deal for the commercialisation of graphene April 14th, 2023

Chicago Quantum Exchange welcomes six new partners highlighting quantum technology solutions, from Chicago and beyond September 23rd, 2022

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

University of Illinois Chicago joins Brookhaven Lab's Quantum Center June 10th, 2022

Printing/Lithography/Inkjet/Inks/Bio-printing/Dyes

Presenting: Ultrasound-based printing of 3D materials—potentially inside the body December 8th, 2023

Simple ballpoint pen can write custom LEDs August 11th, 2023

Disposable electronics on a simple sheet of paper October 7th, 2022

Newly developed technique to improve quantum dots color conversion performance: Researchers created perovskite quantum dot microarrays to achieve better results in full-color light-emitting devices and expand potential applications June 10th, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project