Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > New Sampling and Classification Methods Set in Revised ISO Cleanroom Standards

Abstract:
A new principle for selection of cleanroom sample locations is one of the major changes in the newly revised ISO 14644 standards now available from the Institute of Environmental Sciences and Technology (IEST), the Secretariat for ISO Technical Committee 209 (ISO/TC 209): Cleanrooms and associated controlled environments. Users of the current ISO 14644 standards are urged to review the new sampling plan in ISO/DIS 14644-1—Part 1: Classification of air cleanliness by particle concentration and ISO/DIS 14644-2—Part 2: Specifications for monitoring and periodic testing to prove continued compliance with ISO 14644-1 in comparison to the previous approach.

New Sampling and Classification Methods Set in Revised ISO Cleanroom Standards

Arlington Heights, IL | Posted on December 10th, 2010

These documents, together considered the cornerstone of the ISO 14644 Cleanroom Standards Series, are available from IEST as Draft International Standards (DIS). Importantly, ISO/DIS 14644-1 and 14644-2 may be used as trade reference per agreement between customers and suppliers, necessitating an urgent need for an understanding of the revised provisions by those involved in contamination control. The DIS documents are under review by ISO member bodies for voting and comment by May 2, 2011, for approval for submission as Final Draft International Standards (FDIS). In the United States, public comments may be submitted to IEST through a form available on the IEST website at www.iest.org.

According to the ISO/TC 209 Working Group experts who developed the revisions, new provisions include a simplified classification process based on a more accurate scientific sampling method. The new statistically based plan for selecting sample locations calls for a greater number of locations, but in turn eliminates the need to apply a statistical test to the data. This approach reportedly allows for different concentration levels in different parts of the cleanroom and is designed to ensure with a given statistical confidence that at least 90% of the cleanroom area complies with the maximum particle concentration. An article detailing the statistics behind the revisions is scheduled for an upcoming special edition of the Journal of the IEST.

Educational courses to inform the controlled environments community about the revisions are planned by IEST this winter in California and on the east coast, and in Chicago during ESTECH, the IEST annual technical meeting, in May 2011. For further details or to order the documents, visit the IEST website at www.iest.org or call IEST at (847) 981-0100.

####

About IEST
Founded in 1953, IEST is an international technical society of engineers, scientists, and educators that serves its members and the industries they represent (simulating, testing, controlling, and teaching the environments of earth and space) through education and the development of recommended practices and standards.

IEST is an ANSI-accredited standards-developing organization; Secretariat of ISO/TC 209 Cleanrooms and associated controlled environments; Administrator of the ANSI-accredited US TAG to ISO/TC 209; and a founding member of the ANSI-accredited US TAG to ISO/TC 229 Nanotechnologies.

For more information, please click here

Contacts:
Heather Wooden
IEST Marketing and Meeting Coordinator
Arlington Place One
2340 S. Arlington Heights Road
Suite 100
Arlington Heights, IL 60005
Phone: (847) 981-0100 ext. 20

Copyright © IEST

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

Laboratories

A battery’s hopping ions remember where they’ve been: Seen in atomic detail, the seemingly smooth flow of ions through a battery’s electrolyte is surprisingly complicated February 16th, 2024

NRL discovers two-dimensional waveguides February 16th, 2024

Catalytic combo converts CO2 to solid carbon nanofibers: Tandem electrocatalytic-thermocatalytic conversion could help offset emissions of potent greenhouse gas by locking carbon away in a useful material January 12th, 2024

Three-pronged approach discerns qualities of quantum spin liquids November 17th, 2023

Govt.-Legislation/Regulation/Funding/Policy

What heat can tell us about battery chemistry: using the Peltier effect to study lithium-ion cells March 8th, 2024

Researchers’ approach may protect quantum computers from attacks March 8th, 2024

The Access to Advanced Health Institute receives up to $12.7 million to develop novel nanoalum adjuvant formulation for better protection against tuberculosis and pandemic influenza March 8th, 2024

Optically trapped quantum droplets of light can bind together to form macroscopic complexes March 8th, 2024

Announcements

What heat can tell us about battery chemistry: using the Peltier effect to study lithium-ion cells March 8th, 2024

Curcumin nanoemulsion is tested for treatment of intestinal inflammation: A formulation developed by Brazilian researchers proved effective in tests involving mice March 8th, 2024

The Access to Advanced Health Institute receives up to $12.7 million to develop novel nanoalum adjuvant formulation for better protection against tuberculosis and pandemic influenza March 8th, 2024

Nanoscale CL thermometry with lanthanide-doped heavy-metal oxide in TEM March 8th, 2024

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project