Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > EVG Unveils EVG520L3 Next-Generation Wafer Bonding System

Abstract:
New Wafer Bonder from EVG Enables 3-5x Throughput Improvement for Breakthrough Cost-of-Ownership Performance

EVG Unveils EVG520L3 Next-Generation Wafer Bonding System

Dresden | Posted on October 19th, 2010

EV Group (EVG), a leading supplier of wafer-bonding and lithography equipment for the advanced semiconductor and packaging, MEMS, silicon-on-insulator (SOI) and emerging nanotechnology markets, today announced the latest addition to its industry-leading EVG500 Series of permanent wafer bonding systems. The new three-chamber EVG520L3 wafer bonding system builds on the series' proven strengths in temperature control, piston force uniformity and modularity, with the next major implementation of the bond chamber concept—addressing the need for high-vacuum, CMOS-compatible bonding processes while delivering significantly higher throughput, cost of ownership (CoO) and yields.

Ongoing industry exploration of new technologies for MEMS devices and advanced packaging/3D interconnect applications is driving increased demand for flexible, yet reliable, processing equipment. Wafer stacking and bonding systems must be able to accommodate a variety of materials and material properties, offer faster heating and cooling, and enable parallel processing capabilities to ensure overall quality and uniformity. The EVG520L3 was developed with these requirements in mind, delivering capabilities superior to those of competitive offerings—all the while addressing advanced CoO and yield requirements.

One of the most significant hurdles to higher throughput is long pumping times for achieving high vacuum in the device. To address this, the EVG520L3 features a brand new three-chamber design, which enables processing of up to three substrate stacks simultaneously. This concept utilizes pre- and post-bond process chambers, which separates the baking and pumping, high-vacuum bonding and post-bond cooling. The new layout speeds up the bond process (by up to 3x for high-vacuum and 5.5x for ultra-high vacuum), and results in significantly improved throughput and yield.

Other enhancements include:

* A heater design that supports 45 degrees C/minute heating and up to 100 degrees C/minute cooling ramps with silent wafer cooling, for improved temperature uniformity in EVG's proven heater design
* Rapid vacuum build-up to enable high-vacuum applications, such as bolometers
* Option to support up to 100kN contact force—enabling metallic bonds on the larger substrates used for MEMS and 3D IC applications
* Unparalleled force accuracy and uniformity for highest yield

Noted Paul Lindner, EVG's executive technology director, "We developed the EVG520L3 over several years as part of a global innovation effort driven by customers' feedback on their next-generation bonding requirements. The system's highly stable design has minimal moving parts inside the chamber, while the bonding module is fully compatible with our EVG540 single-chamber and Gemini integrated wafer bonding systems. Moreover, the bond chucks are compatible with our Gemini and EVG600 Series aligners. Together, these capabilities allow us to help our customers achieve both faster time to market and lower overall cost of ownership for their wafer bonding processes."

The EVG500 Series is designed for volume manufacturing of advanced packaging, 3D interconnect and MEMS applications, and performs all wafer bonding techniques utilizing EVG's unique process separation principle. Various wafer sizes and materials with modular configurations for easy transfer of R&D processes to production are supported. EVG's wafer bonding equipment achieves the highest yields for aligned wafer bonding in the industry.

"Wafer bonding is a critical process step in the manufacturing of 3D stacked chips and MEMS devices. As the rising design complexity and integration of new materials for these products drives up cost per wafer, manufacturers must not only meet tough yield and throughput requirements but also adhere to amazing cost pressures for high volume manufacturing," commented Principal Research Analyst, Mark Stromberg, of Gartner, Inc. "As a result, there is a clear critical need for a wafer bonding solution that can address all of these challenges."

Further supporting its expertise in the MEMS sector, EVG announced another key order for the MEMS market today—Norway-based MEMS sensor producer Sensonor Technologies AS ordered an EVG Gemini for thermal imaging manufacturing. Also at SEMICON Europa, EVG today announced that Fraunhofer ENAS has purchased an EVG6200NT automated mask aligner and an EVG540 automated wafer bonder, incorporating EVG's new SMS-NIL technology for ultra-high-resolution patterning.

Those interested in learning more about the new three-chamber EVG520L3 wafer bonding system and EV Group's broad portfolio of wafer bonding and lithography equipment are invited to visit the company in booth #1568 (Hall 1) during SEMICON Europa, October 19-21, 2010, at Messe Dresden, Dresden, Germany.

####

About EV Group
EV Group (EVG) is a world leader in wafer-processing solutions for semiconductor, MEMS and nanotechnology applications. Through close collaboration with its global customers, the company implements its flexible manufacturing model to develop reliable, high-quality, low-cost-of-ownership systems that are easily integrated into customers' fab lines. Key products include wafer bonding, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems.

In addition to its dominant share of the market for wafer bonders, EVG holds a leading position in NIL and lithography for advanced packaging and MEMS. Along these lines, the company co-founded the EMC-3D consortium in 2006 to create and help drive implementation of a cost-effective through-silicon via (TSV) process for major ICs and MEMS/sensors. Other target semiconductor-related markets include silicon-on-insulator (SOI), compound semiconductor and silicon-based power-device solutions.

Founded in 1980, EVG is headquartered in St. Florian, Austria, and operates via a global customer support network, with subsidiaries in Tempe, Ariz.; Albany, N.Y.; Yokohama and Fukuoka, Japan; Seoul, Korea and Chung-Li, Taiwan. The company's unique Triple i-approach (invent - innovate - implement) is supported by a vertical integration, allowing EVG to respond quickly to new technology developments, apply the technology to manufacturing challenges and expedite device manufacturing in high volume. More information is available at www.EVGroup.com.

For more information, please click here

Copyright © EV Group

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Simulating magnetization in a Heisenberg quantum spin chain April 5th, 2024

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Nanoelectronics

Interdisciplinary: Rice team tackles the future of semiconductors Multiferroics could be the key to ultralow-energy computing October 6th, 2023

Key element for a scalable quantum computer: Physicists from Forschungszentrum Jülich and RWTH Aachen University demonstrate electron transport on a quantum chip September 23rd, 2022

Reduced power consumption in semiconductor devices September 23rd, 2022

Atomic level deposition to extend Moore’s law and beyond July 15th, 2022

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Tools

First direct imaging of small noble gas clusters at room temperature: Novel opportunities in quantum technology and condensed matter physics opened by noble gas atoms confined between graphene layers January 12th, 2024

New laser setup probes metamaterial structures with ultrafast pulses: The technique could speed up the development of acoustic lenses, impact-resistant films, and other futuristic materials November 17th, 2023

Ferroelectrically modulate the Fermi level of graphene oxide to enhance SERS response November 3rd, 2023

The USTC realizes In situ electron paramagnetic resonance spectroscopy using single nanodiamond sensors November 3rd, 2023

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project