Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > SET Receives Strategic Wafer Level Packaging Equipment Order from SEMATECH at UAlbany NanoCollege

Abstract:
SEMATECH Will Perform Innovative 3D Applications at CNSE's Albany NanoTech with SET's High Accuracy FC300 System

SET Receives Strategic Wafer Level Packaging Equipment Order from SEMATECH at UAlbany NanoCollege

Albany, NY and Saint Jeoire, France | Posted on September 1st, 2009

SET, Smart Equipment Technology, a wholly owned subsidiary of Replisaurus Technologies, has received an order for its high accuracy and high force Device Bonder FC300 from SEMATECH, the global consortium of semiconductor manufacturers. The order was booked earlier this year and the delivery of the machine is scheduled for the end of 2009 at SEMATECH's 3D R&D Center at the College of Nanoscale Science and Engineering's (CNSE) Albany NanoTech Complex in Albany, N.Y.

"Our comprehensive program is aimed at enabling the manufacturability of 3D interconnects, and will benefit from this versatile platform that addresses the broad spectrum of our member companies' bonding requirements," said Sitaram Arkalgud, SEMATECH's 3D program director.

"As the SEMATECH-CNSE partnership continues to drive innovative solutions for next-generation nanodevice manufacturing, the addition of SET's bonding system will support that effort," added Richard Brilla, CNSE vice president for Strategy, Alliances and Consortia. "At the same time, the enhanced capabilities at CNSE's world-class Albany NanoTech Complex will further enable the leading-edge research and development that is critical to our global corporate partners."

The FC300 is a new generation of high accuracy (0.5 µm), high force (4,000N) device bonder for wafers diameters up to 300 mm. It can be equipped with an optional built-in chamber for collective reflow in a gas or vacuum environment. The FC300 also features nanoimprinting capabilities.

In the frame of its 3D integration program, SEMATECH will explore three-dimensional technology and design for applications in various domains. The technology research using the FC300 focuses mainly on die-to-wafer bonding applications. Other processes such as die-to-die bonding will be explored in the future.

The system ordered encompasses an ultrasonic bonding head and a high force bonding head equipped with a confinement chamber that reduces oxide on bumps and bonding pads. This configuration is especially interesting for Cu-Cu bonding applicable to 3D-Ics integration.

The FC300 has unrivalled versatility, and is able to perform various applications on the same platform with a quick process head reconfiguration:

- High Force Bonding Head, adapted to the thermo-compression bonding process.

- Low Force Bonding Head, for reflow bonding of all sorts of components, including RF & Optoelectronics device assembly.

- UV-curing Head for adhesive bonding using the UV-NIL process, etc.

"SET is proud of confirming its leadership position within the industry by providing cutting-edge bonding solutions to major players like SEMATECH. By working closely with our customers, SET has raised process development and flexibility of its equipment to the highest level," said Gilbert Lecarpentier, SET business development manager.

####

About SEMATECH
For over 20 years, SEMATECH® (www.sematech.org) has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.

About CNSE

The Ualbany CNSE is the first college in the world dedicated to education, research, development, and deployment in the emerging disciplines of nanoscience, nanoengineering, nanobioscience, and nanoeconomics. CNSE’s Albany NanoTech Complex is the most advanced research enterprise of its kind at any university in the world. With over $5 billion in high-tech investments, the 800,000-square-foot complex attracts corporate partners from around the world and offers students a one-of-a-kind academic experience. The Ualbany NanoCollege houses the only fully-integrated, 300mm wafer, computer chip pilot prototyping and demonstration line within 80,000 square feet of Class 1 capable cleanrooms. More than 2,500 scientists, researchers, engineers, students, and faculty work on site at CNSE’s Albany NanoTech, from companies including IBM, AMD, GlobalFoundries, SEMATECH, Toshiba, Applied Materials, Tokyo Electron, ASML, Vistec Lithography and Atotech. For more information, visit www.cnse.albany.edu.

About SET

SET, Smart Equipment Technology is a world leading supplier of High Accuracy Die-to-Die, Die-to-Wafer Bonding and Nanoimprint Lithography solutions. With more than 300 Device Bonders installed worldwide, SET is globally renowned for the unsurpassed placement accuracy and the high flexibility of its Flip Chip bonders. From the KADETT semi-automated R&D Device Bonder, through the automated FC150 and FC300 to the production FC250, SET offers a continuous process path from research to production. SET bonders cover most bonding technologies and offer the unique ability to handle and bond both fragile and small components onto substrates up to 300 mm. Further information on the FC300 is available on www.set-sas.fr.

For more information, please click here

Contacts:
SEMATECH contact:
Erica McGill
Media Relations
Phone: (518) 649-1041


CNSE contact:
Steve Janack
Vice President for Marketing and Communications
Phone: (518) 956-7322


SET contact:
Gilbert Lecarpentier
Business Development Manager
Phone: +33 (0) 686 28 1224

Copyright © SEMATECH

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Simulating magnetization in a Heisenberg quantum spin chain April 5th, 2024

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Nanoelectronics

Interdisciplinary: Rice team tackles the future of semiconductors Multiferroics could be the key to ultralow-energy computing October 6th, 2023

Key element for a scalable quantum computer: Physicists from Forschungszentrum Jülich and RWTH Aachen University demonstrate electron transport on a quantum chip September 23rd, 2022

Reduced power consumption in semiconductor devices September 23rd, 2022

Atomic level deposition to extend Moore’s law and beyond July 15th, 2022

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Tools

First direct imaging of small noble gas clusters at room temperature: Novel opportunities in quantum technology and condensed matter physics opened by noble gas atoms confined between graphene layers January 12th, 2024

New laser setup probes metamaterial structures with ultrafast pulses: The technique could speed up the development of acoustic lenses, impact-resistant films, and other futuristic materials November 17th, 2023

Ferroelectrically modulate the Fermi level of graphene oxide to enhance SERS response November 3rd, 2023

The USTC realizes In situ electron paramagnetic resonance spectroscopy using single nanodiamond sensors November 3rd, 2023

New-Contracts/Sales/Customers

Bruker Light-Sheet Microscopes at Major Comprehensive Cancer Center: New Advanced Imaging Center Powered by Two MuVi and LCS SPIM Microscopes March 25th, 2021

Arrowhead Pharmaceuticals Announces Closing of Agreement with Takeda November 27th, 2020

Veeco Announces Aledia Order of 300mm MOCVD Equipment for microLED Displays: Propel™ Platform First 300mm System with EFEM Designed for Advanced Display Applications October 20th, 2020

GREENWAVES TECHNOLOGIES Announces Next Generation GAP9 Hearables Platform Using GLOBALFOUNDRIES 22FDX Solution October 16th, 2020

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project