Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > SEMATECH Experts Report Progress on Enabling EUVL at SPIE

Abstract:
Papers provide innovative and practical options for realizing the semiconductor roadmap for the 22 nm half-pitch node and beyond

SEMATECH Experts Report Progress on Enabling EUVL at SPIE

Albany, NY and Austin, TX | Posted on February 11th, 2009

SEMATECH and International SEMATECH Manufacturing Initiative (ISMI) experts will present world-leading research and development results on extreme ultraviolet (EUV) manufacturability and extendibility, alternative lithography, and related areas of metrology at the SPIE Advanced Lithography 2009 conferences on February 22-27 at the San Jose Convention Center and Marriott in San Jose, CA.

SEMATECH engineers will report their progress on assessing EUV lithography (EUVL) manufacturability and on advancing EUVL extendibility and alternative lithography and will showcase some of their findings in 12 papers demonstrating breakthrough results in exposure tool capability, resist advances, defect-related inspection, reticle handling, and nanoimprint.

"We are enthusiastic about sharing our progress on some of the most critical determiners for the development of EUV infrastructure," said Bryan Rice, director of lithography at SEMATECH. "SEMATECH's leadership in enabling EUVL pilot line readiness and in researching new techniques for advancing EUV extendibility and alternative lithography, coupled with access to the full-field exposure tool, located at the University at Albany's College of Nanoscale Science and Engineering, demonstrate how our research continues to support EUV readiness for the 22 nm half-pitch node."

In addition to the EUV results, ISMI engineers will present on critical dimension-scanning electron microscopy (CD-SEM) metrology and process control. ISMI's metrology program is focused on the 32 nm and 22 nm generations, to facilitate lithographic innovation, improve manufacturing productivity, and reduce manufacturing costs.

SEMATECH-led presentations at SPIE include the following:
Tuesday, February 24

· 4:30 p.m.: Nanopit smoothing by cleaning - will introduce a new technique for smoothing pit defects on EUV mask substrates. This technique is based on non-isotropic etch processes.

· 5:30 p.m.: Protection efficiency and commercial availability of a standards-compliant EUV reticle handling solution - will present performance results of a commercial carrier, using the world's most advanced inspection capability of 40 nm polystyrene latex (PSL) equivalent.

Wednesday, February 25

· 8:40 a.m.: SEMATECH research activities on EUV full-field exposure tool - will evaluate the performance of an alpha demo tool (ADT) exposure tool, looking specifically at the status of EUVL and its supporting infrastructure.

· 9:40 a.m.: Estimation of cost comparison of lithography technologies at the 22 nm half-pitch node - will identify key cost factors for different lithography candidates, investigate their corresponding cost targets, and discuss the cost dependence of different types of integrated devices on lithography technology.

· 3:50 p.m.: SEMATECH's nanoimprint program: a key enabler for nanoimprint introduction - will explore many of the critical aspects of the nanoimprint process and drive key improvements in overlay, template cleaning, and defectivity toward making nanoimprint technology a cost-effective alternative for CMOS development and manufacturing applications.

Thursday, February 26

· 10:50 a.m.: Assessment of EUV resist readiness for 32 nm half-pitch manufacturing and extendibility study of EUV ADT using state-of-the-art resists - will assess EUVL resist readiness for 32 nm half-pitch manufacturability using a full-field ADT scanner and demonstrate ADT extendibility with state-of-the-art EUV resists.

Key ISMI presentations in the Metrology, Inspections, and Process Control for Microlithography sessions include the following:

· 1:40 p.m., Tuesday, February 24: CD-SEM parameter influence on image resolution and measurement accuracy - will show experimental SEM resolution results, including influences of many different parameters such as SEM focus and stigmation, filter, and threshold levels.

· 4:10 p.m., Wednesday, February 25: Phenomenology of electron-beam-induced photoresist shrinkage trends - will examine the readiness of SEM metrology for the challenges presented by both dry and immersion ArF lithographies and will calculate the errors involved in estimating the original CD from the shrinkage trend.

For a complete listing of papers authored or co-authored by SEMATECH researchers, or highlighting SEMATECH research, please visit www.sematech.org/corporate/news/features/spie2009.htm.

####

About SEMATECH
For 20 years, SEMATECH® (www.sematech.org), the global consortium of leading semiconductor manufacturers, has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.

For more information, please click here

Contacts:
Erica McGill
SEMATECH | Media Relations
257 Fuller Road | Suite 2200 | Albany, NY | 12203
o: 518-649-1041 | m: 518-487-8256

Copyright © SEMATECH

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Researchers develop artificial building blocks of life March 8th, 2024

How surface roughness influences the adhesion of soft materials: Research team discovers universal mechanism that leads to adhesion hysteresis in soft materials March 8th, 2024

Two-dimensional bimetallic selenium-containing metal-organic frameworks and their calcinated derivatives as electrocatalysts for overall water splitting March 8th, 2024

Curcumin nanoemulsion is tested for treatment of intestinal inflammation: A formulation developed by Brazilian researchers proved effective in tests involving mice March 8th, 2024

Chip Technology

New chip opens door to AI computing at light speed February 16th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

NRL discovers two-dimensional waveguides February 16th, 2024

Announcements

What heat can tell us about battery chemistry: using the Peltier effect to study lithium-ion cells March 8th, 2024

Curcumin nanoemulsion is tested for treatment of intestinal inflammation: A formulation developed by Brazilian researchers proved effective in tests involving mice March 8th, 2024

The Access to Advanced Health Institute receives up to $12.7 million to develop novel nanoalum adjuvant formulation for better protection against tuberculosis and pandemic influenza March 8th, 2024

Nanoscale CL thermometry with lanthanide-doped heavy-metal oxide in TEM March 8th, 2024

Interviews/Book Reviews/Essays/Reports/Podcasts/Journals/White papers/Posters

Researchers develop artificial building blocks of life March 8th, 2024

How surface roughness influences the adhesion of soft materials: Research team discovers universal mechanism that leads to adhesion hysteresis in soft materials March 8th, 2024

Curcumin nanoemulsion is tested for treatment of intestinal inflammation: A formulation developed by Brazilian researchers proved effective in tests involving mice March 8th, 2024

Nanoscale CL thermometry with lanthanide-doped heavy-metal oxide in TEM March 8th, 2024

Events/Classes

Researchers demonstrate co-propagation of quantum and classical signals: Study shows that quantum encryption can be implemented in existing fiber networks January 20th, 2023

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

June Conference in Grenoble, France, to Explore Pathways to 6G Applications, Including ‘Internet of Senses’, Sustainability, Extended Reality & Digital Twin of Physical World: Organized by CEA-Leti, the Joint EuCNC and 6G Summit Sees Telecom Sector as an ‘Enabler for a Sustainabl June 1st, 2022

How a physicist aims to reduce the noise in quantum computing: NAU assistant professor Ryan Behunin received an NSF CAREER grant to study how to reduce the noise produced in the process of quantum computing, which will make it better and more practical April 1st, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project