Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > Vistec Lithography Completes Relocation from United Kingdom to Become First International High-Tech Equipment Supplier to Move Entire Operation to New

Abstract:
Leading nanotechnology company opens global headquarters and leading-edge manufacturing facility at Watervliet Arsenal to complement world-class R&D center at UAlbany NanoCollege

Vistec Lithography Completes Relocation from United Kingdom to Become First International High-Tech Equipment Supplier to Move Entire Operation to New

Watervliet, NY | Posted on November 28th, 2008

New York State Assembly Majority Leader Ron Canestrari, United States Senator Charles E. Schumer, and Congressman Mike McNulty were joined today by officials from Vistec Lithography Inc. (Vistec), the Arsenal Business & Technology Partnership (Partnership), the College of Nanoscale Science & Engineering (CNSE) of the University at Albany, and the Watervliet Arsenal to officially open Vistec's new global headquarters and state-of-the-art manufacturing facility in Watervliet. Combined with its world-class research and development center located at the UAlbany NanoCollege, Vistec becomes the first high-tech equipment supplier to move its entire operation to New York's Capital Region.

In October 2006, Assembly Speaker Sheldon Silver and Assemblyman Ron Canestrari (D-Cohoes) announced the Assembly was providing $30 million in funds it secured in the state budget toward the relocation of Vistec's global headquarters, research and development, manufacturing and business operations from Cambridge in the United Kingdom to the Arsenal Campus in Watervliet and CNSE's Albany NanoTech Complex. As part of the move, Vistec is expected to invest $125 million at the Arsenal Campus and UAlbany NanoCollege, with more than 130 high-technology jobs projected to be created over five years involving Vistec and its supplier network.

Vistec is leasing approximately 30,000 square feet of space in the Arsenal's Building 125, where it has transformed a former Arsenal machine shop into a leading-edge high-tech facility with cleanrooms, production space, and modern offices. Vistec, the Partnership and CNSE worked jointly with M+W Zander, a renowned global architecture, engineering and construction management firm with offices at the Arsenal, to renovate the space into cutting-edge facilities in support of Vistec's next-generation electron-beam lithography technology.

Assembly Majority Leader Canestrari, said, "This is a great day for the Capital Region economy. Vistec's success here makes it clear that this region has the capability to attract and support companies that use the latest and most sophisticated technologies. Vistec's new headquarters and manufacturing facilities are breathing new life into a large building that was previously underutilized at the Watervliet Arsenal. The collaboration between the Arsenal Partnership and the UAlbany NanoCollege, with support from other institutions in the region, is a roadmap for future economic growth and attracting and retaining a highly educated workforce."

United States Senator Schumer, said, "Today is the culmination of a lot of hard work at the local, state and federal levels. If an old, dirty, industrial hulk like Building 125 can be redeveloped into clean room space for high tech manufacturing than I know the Watervliet Arsenal and the Capital Region are on track for a bright future."

Congressman Mike McNulty, said, "The relocation of Vistec Lithography from the United Kingdom to Watervliet is proof that what I have been saying for years is true - that cutting edge private sector companies could prosper at the Arsenal while enhancing the critical mission of the U.S. Army. The future of the Arsenal is bright so long as we continue to build on successes like these, where high tech companies bring new jobs and new life to this historic facility. This would not have been possible without the collaboration of many partners, including my colleagues Senators Schumer and Clinton, and especially the New York State Assembly and Assembly Majority Leader Ron Canestrari who took an active role in making this deal a reality."

Papken Der Torossian, Chairman of Vistec, said, "Vistec Lithography, Inc. is very pleased to now have our global headquarters located at the Watervliet Arsenal. We benefit greatly from the synergies between the UAlbany NanoCollege and its numerous global partners, including academic and research institutions, equipment and supplier companies, and key nanoelectronics manufacturers in the region".

Dr. Alain E. Kaloyeros, Senior Vice President and Chief Executive Officer of CNSE, said, "The opening of Vistec Lithography's global headquarters and leading-edge manufacturing facility at the Watervliet Arsenal, complementing its world-class research and development center at the UAlbany NanoCollege, is a glowing testimony to the extraordinary vision, pioneering leadership and exceptional investment of Speaker Sheldon Silver, Majority Leader Ron Canestrari and the New York State Assembly. As one of the world's leading nanotechnology companies, and the first high-tech equipment supplier to move its entire operation to New York's Capital Region, Vistec is among the growing number of international companies to recognize that when it comes to cutting-edge nanoscale research, development and manufacturing, all roads lead to New York State."

Tony Gaetano, President of the Arsenal Business and Technology Partnership, said, "Vistec brings the 21st century to the Arsenal - in products, jobs, suppliers, and networks. They're another window to the future for the entire region. And, they'll attract more companies like them to the site. This is a great day for Watervliet,"

Colonel Scott N. Fletcher, Commander, U.S. Army Watervliet Arsenal, said, ""The Vistec grand opening means more to the Arsenal than just another ceremony. Today's event symbolizes continued hope for a long-term, viable future of the U.S. Army at the Watervliet Arsenal."

Vistec Lithography, Inc., together with Vistec Electron Beam GmbH in Germany (both formerly operating under Leica Microsystems), are noted for the production and development of advanced electron-beam lithography equipment on 200mm and 300mm platforms for applications at the nanoscale level. As one of the world's leading providers of electron-beam lithography systems critical to the future of nanotechnology, Vistec Electron Beam Lithography Group is targeting emerging business applications in nanotechnology, biomolecular, bioelectronics, next generation lithography mask-making, silicon direct-write (defense/aerospace), telecommunications, micro-optics and micromechanics markets, as well as industrial applications in electron-beam direct write and commercial mask writing.

The joint Vistec-CNSE Center for NanoScale Lithography, located at CNSE's Albany NanoTech, allows Vistec to conduct its most advanced research and development for electron beam lithography equipment in the interdisciplinary fields of nanotechnology in partnership with the faculty and students at the NanoCollege. This Center also provides a critical education and workforce training capability for the newly-created high-technology jobs located at the Arsenal.

####

About UAlbany CNSE
The UAlbany CNSE is the first college in the world dedicated to research, development, education, and deployment in the emerging disciplines of nanoscience, nanoengineering, nanobioscience, and nanoeconomics. In May 2007, it was ranked as the world's number one college for nanotechnology and microtechnology in the Annual College Ranking by Small Times magazine. CNSE's Albany NanoTech complex is the most advanced research enterprise of its kind at any university in the world: a $4.5 billion, 450,000-square-foot complex that attracts corporate partners from around the world and offers students a one-of-a-kind academic experience. The UAlbany NanoCollege houses the only fully-integrated, 300mm wafer, computer chip pilot prototyping and demonstration line within 65,000 square feet of Class 1 capable cleanrooms. More than 2,000 scientists, researchers, engineers, students, and faculty work on site at CNSE's Albany NanoTech complex, from companies including IBM, AMD, SEMATECH, Toshiba, ASML, Applied Materials, Tokyo Electron, Vistec Lithography and Freescale. An expansion currently underway will increase the size of CNSE's Albany NanoTech complex to over 800,000 square feet, including over 80,000 square feet of Class 1 capable cleanroom space, to house over 2,500 scientists, researchers, engineers, students, and faculty by mid-2009.

About Vistec

The Vistec Electron Beam Lithography Group combines Vistec Lithography, Inc. (USA) and Vistec Electron Beam GmbH (Germany). Vistec Lithography, Inc. develops, manufactures and sells electron-beam lithography equipment based on Gaussian beam technology. Their electron beam systems are accepted world-wide in advanced research laboratories and universities. Vistec Electron Beam GmbH is providing electron-beam lithography equipment based on shaped beam technology, which is used by leading semiconductor manufacturers and many research institutes around the world. Their innovative electron beam systems are used for microchip production and integrated optics as well as for scientific and commercial research. Download of all media releases and images is available at www.vistec-semi.com/.

About the Arsenal Business & Technology Partnership

The Arsenal Partnership is a not-for-profit local development corporation created in 1999 to redevelop underutilized space at the Watervliet Arsenal. The Partnership has obtained tens of millions of dollars in private and public investment for the site, and has attracted more than a dozen high-tech companies to the campus. Tenant companies work in homeland defense technologies, precision coatings, advanced ceramics, high-tech plastics, software development, security consulting and clean room construction. The Partnership is the exclusive economic development agent for the site. For more information: www.arsenalpartnership.com/.

For more information, please click here

Contacts:
Steve Janack
CNSE
Vice President for Marketing and Communications
(phone) 518-956-7322
(cell) 518-312-5009

Copyright © UAlbany CNSE

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Researchers develop artificial building blocks of life March 8th, 2024

How surface roughness influences the adhesion of soft materials: Research team discovers universal mechanism that leads to adhesion hysteresis in soft materials March 8th, 2024

Two-dimensional bimetallic selenium-containing metal-organic frameworks and their calcinated derivatives as electrocatalysts for overall water splitting March 8th, 2024

Curcumin nanoemulsion is tested for treatment of intestinal inflammation: A formulation developed by Brazilian researchers proved effective in tests involving mice March 8th, 2024

Openings/New facilities/Groundbreaking/Expansion

OCSiAl expands its graphene nanotube production capacities to Europe June 17th, 2022

GLOBALFOUNDRIES Moves Corporate Headquarters to its Most Advanced Semiconductor Manufacturing Facility in New York April 27th, 2021

Oxford Instruments Plasma Technology relocates to advanced manufacturing facility: Move driven by exceptional business growth February 12th, 2021

RIT to upgrade Semiconductor and Microsystems Fabrication Laboratory through $1 million state grant: Upgrades to clean room will enhance university’s research capabilities in photonics, quantum technologies and smart systems August 16th, 2019

Academic/Education

Rice University launches Rice Synthetic Biology Institute to improve lives January 12th, 2024

Multi-institution, $4.6 million NSF grant to fund nanotechnology training September 9th, 2022

National Space Society Helps Fund Expanding Frontier’s Brownsville Summer Entrepreneur Academy: National Space Society and Club for the Future to Support Youth Development Program in South Texas June 24th, 2022

How a physicist aims to reduce the noise in quantum computing: NAU assistant professor Ryan Behunin received an NSF CAREER grant to study how to reduce the noise produced in the process of quantum computing, which will make it better and more practical April 1st, 2022

Announcements

What heat can tell us about battery chemistry: using the Peltier effect to study lithium-ion cells March 8th, 2024

Curcumin nanoemulsion is tested for treatment of intestinal inflammation: A formulation developed by Brazilian researchers proved effective in tests involving mice March 8th, 2024

The Access to Advanced Health Institute receives up to $12.7 million to develop novel nanoalum adjuvant formulation for better protection against tuberculosis and pandemic influenza March 8th, 2024

Nanoscale CL thermometry with lanthanide-doped heavy-metal oxide in TEM March 8th, 2024

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project