Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > SEMATECH Reports Resist and Mask Progress at EUVL Symposium

Abstract:
SEMATECH engineers and the industry at large have made significant advances in moving forward the infrastructure that will prepare extreme ultraviolet lithography (EUVL) for cost-effective manufacturing, according to papers presented at the 2008 International EUVL Symposium in Lake Tahoe, California.

SEMATECH Reports Resist and Mask Progress at EUVL Symposium

Albany, NY and Austin, TX | Posted on October 14th, 2008

At the seventh EUVL Symposium, an outstanding set of 52 technical papers and 106 posters covering all aspects of EUVL technology, reported excellent progress in many key areas. At the same time, presenters highlighted various technology, infrastructure, and business challenges that the industry needs to address to successfully insert EUVL into manufacturing at the 22 nm half-pitch node.

The forum keynote addresses - "EUV Lithography's Future," by Dr. Harry Levinson of AMD, and "Samsung's Lithography Strategy," from Dr. Woosung Han of Samsung - emphasized that EUVL technology has transitioned from the research phase to the development phase, and is now focused on early device and yield learning and pre-production tooling to prepare EUVL for pilot line insertion in the 2010-2012 timeframe.

Experts reported, for the first time 45 nm node yielding full-field SRAM's produced using EUV lithography. A presentation by a chip manufacturer illustrated how EUVL contact hole printing for 3X nm half-pitch nodes and line and space printing for 2X nm hp nodes are significantly more cost effective than competing technologies, in part because little or no optical proximity correction (OPC) is needed.

Full field tool imaging, using conventional illumination, has demonstrated 28 nm half-pitch line and space resolution and 28 nm 1:1 contact hole resolution without using OPC. Further data showing approximately 1 nm intrawafer critical dimension uniformity (CDU) for 35 nm hp 1:1 lines and spaces clearly demonstrate the excellent imaging performance of EUVL alpha tools.

Critical progress has also been made in EUV sources. A fully integrated laser produced plasma (LPP) source collector module with effective mitigation of tin deposition and ion erosion was demonstrated with 3 to 4 W at intermediate focus (IF). Also, generated EUV power for discharge produced plasma (DPP) sources — the type that currently is used in alpha tools — has tripled to 500W.

SEMATECH researchers and research partners highlighted the key role the consortium has played in achieving significant advances in EUV resists in papers presented at the symposium. Specifically, SEMATECH researchers have demonstrated 20 nm resolution images and 30 nm 1:1 contact hole images and have achieved feature resolution for both, as confirmed by cross-section scanning electron microscopy images.

The resist technology research leading to these accomplishments has been enabled by SEMATECH's EUV Resist Test Center (RTC) at the College of Nanoscale Science and Engineering's (CNSE) Albany NanoTech Complex in Albany, NY, and by its micro-exposure tool (MET) located at the Berkley Advanced Light Source (ALS) Lab at University of California, Berkeley. Supported by SEMATECH's MET exposure capabilities, resist suppliers have been able to address the challenges of simultaneously meeting resolution, line edge roughness (LER), and sensitivity targets in a systematic way.

"Good progress has been made toward achieving resist resolution and sensitivity targets, with some improvement in line edge roughness, and now chip manufacturers are demonstrating post-exposure resist processes that lead to significantly reduced line edge roughness," said Stefan Wurm, EUVL Symposium chair and SEMATECH's associate director of Lithography. "With the world's leading-edge exposure tool for EUV resists learning, SEMATECH continues to enable the development of high performance resists required to demonstrate EUV manufacturability to our member companies and the industry."

Furthermore, SEMATECH also reported it has significantly reduced printable substrate defects with its development of a new and fast defect-removing cleans process. Combining a 6X faster two-hour defect smoothing process, this constitutes a major step forward in enabling cost efficient low-defect mask blank manufacturing solutions. EUV mask blanks are now commercially available with approximately 5 defects at 73 nm size. To achieve the pilot line target of eight defects at 18 nm, the industry will require more sensitive defect inspection tools for mask substrates and blanks.

Lastly, SEMATECH's aerial imaging and inspection research tool (AIT) at the Berkeley ALS Lab demonstrated that it is capable of resolving 88 nm mask features (22 nm half-pitch on the wafer). It is the only tool, world-wide, that allows chip manufacturers to characterize mask defect in an aerial imaging mode at this high resolution. However, to support pilot line operation and EUVL transition into manufacturing, a commercial EUV aerial imaging tool will be required for patterned mask defect review.

Given these significant advances, the EUVL Symposium Steering Committee identified at the conclusion of the conference three remaining focus areas that the industry needs to work on to enable EUVL manufacturing insertion:

1. Long-term source operation with 100 W at the IF and 5 megajoule per day
2. Availability of defect-free masks, throughout a mask lifecycle, and the need to address critical mask infrastructure tool gaps, specifically in the defect inspection and defect review area
3. Simultaneous resist resolution sensitivity and LER

The 2008 EUVL Symposium, held Sept. 29-Oct. 1, was organized by SEMATECH in cooperation with Selete, EUVA, and the EUV Cluster Steering Council.

####

About SEMATECH
For 20 years, SEMATECH® (www.sematech.org) has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.

For more information, please click here

Contacts:
SEMATECH
Erica McGill
518-956-7446

Copyright © Business Wire 2008

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Simulating magnetization in a Heisenberg quantum spin chain April 5th, 2024

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Discoveries

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Chemical reactions can scramble quantum information as well as black holes April 5th, 2024

New micromaterial releases nanoparticles that selectively destroy cancer cells April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Events/Classes

Researchers demonstrate co-propagation of quantum and classical signals: Study shows that quantum encryption can be implemented in existing fiber networks January 20th, 2023

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

June Conference in Grenoble, France, to Explore Pathways to 6G Applications, Including ‘Internet of Senses’, Sustainability, Extended Reality & Digital Twin of Physical World: Organized by CEA-Leti, the Joint EuCNC and 6G Summit Sees Telecom Sector as an ‘Enabler for a Sustainabl June 1st, 2022

How a physicist aims to reduce the noise in quantum computing: NAU assistant professor Ryan Behunin received an NSF CAREER grant to study how to reduce the noise produced in the process of quantum computing, which will make it better and more practical April 1st, 2022

Printing/Lithography/Inkjet/Inks/Bio-printing/Dyes

Presenting: Ultrasound-based printing of 3D materials—potentially inside the body December 8th, 2023

Simple ballpoint pen can write custom LEDs August 11th, 2023

Disposable electronics on a simple sheet of paper October 7th, 2022

Newly developed technique to improve quantum dots color conversion performance: Researchers created perovskite quantum dot microarrays to achieve better results in full-color light-emitting devices and expand potential applications June 10th, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project