Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > More Powerful Sources and Innovations Pushing EUVL Closer to Volume Manufacturing, Lithographers Conclude at International Workshop in Maui

Abstract:
Increasingly powerful plasma source based scanners that could be enhanced with optical innovations and improved resists are driving extreme ultraviolet lithography (EUVL) closer to manufacturability, according to technologists at a recently concluded EUVL R&D forum here.

More Powerful Sources and Innovations Pushing EUVL Closer to Volume Manufacturing, Lithographers Conclude at International Workshop in Maui

MAUI, HI | Posted on June 17th, 2008

The 2008 International Workshop on EUV Lithography, held June 10-12 at the Wailea Beach Marriott, brought together lithographers from North America, Europe and Asia for a multi-disciplinary look at new solutions for the technical challenges to bringing EUVL into high-volume semiconductor manufacturing.

The gathering was organized by EUV Litho, Inc., an organization dedicated to promoting and accelerating EUVL through workshops and education. Industry organization SPIE co-sponsored the Workshop and will publish its proceedings along with an audio recording of the workshop.

"Many of the presentations came from universities and national labs, which have been major sources of innovation for EUVL," said Vivek Bakshi, President of EUV Litho, Inc. "Speakers focused less on commercial products and more on R&D, with an emphasis on how to make current technology work."

Sergey Zakharov of NANO-UV described potential of raising xenon to the higher ionization stages of 17 to 25 to provide a 2 percent conversion efficiency (CE), which means that 2 W of EUV light are generated for every 100 W of energy input. If verified, the higher conversion rate makes Xe more competitive with tin (Sn) as the fuel for EUV light sources.

Sharp increases were reported in the power of LPP-based sources, with Gigaphoton's 13 kilowatt (kW) CO2 laser-based system the most powerful to date. Presenter Akira Endo said Gigaphoton couples this laser intensity with the 4% CE of Sn to produce significantly greater source power. Some researchers believe that integrated LPP sources can collect up to four times more power than its technology rival, discharge-produced plasma (DPP).

However, Juergen Kleinschmidt, representing XTREME technologies and Philips Extreme, argued that LPP may not realize that level of increased collection efficiency. Kleinschmidt noted that only DPP sources have been successfully integrated and revealed results of lab experiments showing that DPP sources can continue to provide more power in coming years via increased operational frequency. Others said that much of today's DPP source power is still not utilized by scanners, making integration the main challenge today for increasing the throughput of the scanners.

Advances in optics and optical techniques to advance the capabilities of EUVL also were offered by presenters:

-- Torsten Feigl of Fraunhofer described his lab's success in developing
collector optics technology that can support the requirements of LPP-based
sources.

-- Patrick Naulleau of LBL showed designs based on diffractive optical
elements to extract twice the EUV light from sources and deliver it to the
wafer.

-- Russ Hudyma of Hyperion presented a high numerical aperture (NA)
obscured optics design that reportedly can take EUVL to the 9 nm node,
considered by many to be the endpoint of the International Technology
Roadmap for Semiconductors (ITRS). He also presented new optics designs
that can help scanners use much more of available source power, decreasing
heat generation and the resultant need for cooling. Such designs appear to
be part of the solution to increasing scanner throughput.


Chemical resist suppliers demonstrated a strong commitment to developing improved EUV resists. New approaches include molecular resists and new polymer designs to address the challenges of resist sensitivity, line edge roughness (LER) and resolution. With the recently announced recalibration of resist sensitivities by Lawrence Berkeley National Laboratory (LBL) and the National Institute of Science and Technology (NIST), 10 millijoule (mJ) EUV resists now appear feasible.

However, LER will continue to be problematic for all lithography techniques as manufacturers print smaller and smaller features. Understanding the nature of LER requires the assessment of four parameters and not just one, according to well-known lithography expert Chris Mack, who taught a day-long class on LER fundamentals. Mack also outlined the experimental rigor needed to allow researchers to compare their results and permit new theories of LER to be verified.

In other discussions and events at the Workshop:

-- Professor Padraig Dunne of University College Dublin called on
governments, universities and industry to conduct a second round of
collaboration aimed at creating a detailed roadmap for EUVL R&D. (Panelists
from the Workshop plan to draft such a roadmap in coming months.)

-- The second International Workshop on EUV Lithography is planned for
summer 2009 in Hawaii, with specific dates and location will be announced
later. More information on this year's Workshop is available at
www.euvlitho.com.

####

For more information, please click here

Contacts:
Vivek Bakshi

512-462-2290 (office)
512-784-7585 (cell)

Copyright © Marketwire

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Simulating magnetization in a Heisenberg quantum spin chain April 5th, 2024

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Events/Classes

Researchers demonstrate co-propagation of quantum and classical signals: Study shows that quantum encryption can be implemented in existing fiber networks January 20th, 2023

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

June Conference in Grenoble, France, to Explore Pathways to 6G Applications, Including ‘Internet of Senses’, Sustainability, Extended Reality & Digital Twin of Physical World: Organized by CEA-Leti, the Joint EuCNC and 6G Summit Sees Telecom Sector as an ‘Enabler for a Sustainabl June 1st, 2022

How a physicist aims to reduce the noise in quantum computing: NAU assistant professor Ryan Behunin received an NSF CAREER grant to study how to reduce the noise produced in the process of quantum computing, which will make it better and more practical April 1st, 2022

Printing/Lithography/Inkjet/Inks/Bio-printing/Dyes

Presenting: Ultrasound-based printing of 3D materials—potentially inside the body December 8th, 2023

Simple ballpoint pen can write custom LEDs August 11th, 2023

Disposable electronics on a simple sheet of paper October 7th, 2022

Newly developed technique to improve quantum dots color conversion performance: Researchers created perovskite quantum dot microarrays to achieve better results in full-color light-emitting devices and expand potential applications June 10th, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project