Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > SEMATECH Lithography Forum to Focus on Major Technology Shift

Abstract:
Underscoring its role as industry consensus builder, SEMATECH will host its third Lithography Forum May 12-14, in sessions designed to elicit views and drive agreement on the most realistic approach to next-generation lithography technology.

SEMATECH Lithography Forum to Focus on Major Technology Shift

Albany, NY | Posted on March 27th, 2008

The meeting of global lithography experts from industry and academia comes amid growing uncertainty, as evidenced at the recent SPIE conference, on the approach manufacturers and suppliers should to take to prepare for the transition from the 32 nm to the 22 nm half pitch technology node. Investments are huge: lithography tools and infrastructure, which take up to five years to develop, can cost more than $30 million per unit for the current generation; next-generation high-end tools are expected to exceed $40 million.

"The question of what next generation lithography will be is becoming ever more important," said G. Dan Hutcheson of VLSI Research, a leading semiconductor analyst. "The problem is that the 22 nm node is upon us, and if you are a lithographer, you are going to be standing before your boards soon with your head on the block over a bet on one of these solutions."

Over 55 percent of companies surveyed in May 2006 at the previous forum preferred current-generation 193nm immersion technology until at least 2009. For 2012 and beyond, however, EUV (extreme ultra violet) lithography, which uses extremely short wavelengths of light to image circuit patterns on the surface of semiconductor wafers, was the primary choice. However, increasing interest in double patterning balanced by concerns over its cost, and technical progress in EUVL will likely result in changes in the roadmap.

"Given the rising costs and increasing pressure on R&D funding, the industry needs another critical and objective assessment of lithography options," said Michael Lercel, SEMATECH's director of lithography. "Measuring the perception of the readiness of emerging technologies - which we'll ask the Litho Forum participants to weigh in on - is the first step in getting suppliers and partners on board to build tools, materials, and processes for the factory floor."

As in prior forums, various candidate lithography technologies will update the industry on their progress toward readiness for manufacturing insertion, and attendees will be polled, both before and during the meeting, for their opinions on the most likely technology choices for future manufacturing. Unlike other focused conferences, including the SEMATECH-sponsored EUVL Symposium and Immersion Symposium, which provide deep technical results on a single technology, the goal of the Litho Forum is to provide a balanced view of the options for future lithography.

The session is open to the public, and will be held in Lake George, New York as part of the SEMATECH Knowledge Series. Further information is available at
www.sematech.org/meetings/announcements/8352/.

####

About SEMATECH
SEMATECH plays a major role in arbitrating generational shifts by hosting industry-wide forums to foster dialogue and build consensus. SEMATECH also contributes to maintaining the International Technology Roadmap for Semiconductors (ITRS), a 15-year assessment of the industry’s future technology requirements which helps drive the semiconductor industry’s research and development strategies. The consortium works with members and partners on a pre-competitive basis to drive technology from the lab to manufacturing.

For 20 years, SEMATECH® (www.sematech.org), the global consortium of leading semiconductor manufacturers, has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.

For more information, please click here

Contacts:
SEMATECH
Media Contact:
Anne Englander
512-356-7155

Copyright © Business Wire 2008

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

News and information

Simulating magnetization in a Heisenberg quantum spin chain April 5th, 2024

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Possible Futures

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

With VECSELs towards the quantum internet Fraunhofer: IAF achieves record output power with VECSEL for quantum frequency converters April 5th, 2024

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Events/Classes

Researchers demonstrate co-propagation of quantum and classical signals: Study shows that quantum encryption can be implemented in existing fiber networks January 20th, 2023

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

June Conference in Grenoble, France, to Explore Pathways to 6G Applications, Including ‘Internet of Senses’, Sustainability, Extended Reality & Digital Twin of Physical World: Organized by CEA-Leti, the Joint EuCNC and 6G Summit Sees Telecom Sector as an ‘Enabler for a Sustainabl June 1st, 2022

How a physicist aims to reduce the noise in quantum computing: NAU assistant professor Ryan Behunin received an NSF CAREER grant to study how to reduce the noise produced in the process of quantum computing, which will make it better and more practical April 1st, 2022

Printing/Lithography/Inkjet/Inks/Bio-printing/Dyes

Presenting: Ultrasound-based printing of 3D materials—potentially inside the body December 8th, 2023

Simple ballpoint pen can write custom LEDs August 11th, 2023

Disposable electronics on a simple sheet of paper October 7th, 2022

Newly developed technique to improve quantum dots color conversion performance: Researchers created perovskite quantum dot microarrays to achieve better results in full-color light-emitting devices and expand potential applications June 10th, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project