Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > SEMATECH to Report Production-Enabling Breakthroughs at EUVL Symposium

Abstract:
SEMATECH engineers have made significant advances in moving forward the infrastructure that will prepare extreme ultraviolet lithography (EUVL) for cost-effective manufacturing, according to papers being presented here at the 2007 International EUVL Symposium.

SEMATECH to Report Production-Enabling Breakthroughs at EUVL Symposium

SAPPORO, Japan | Posted on October 29th, 2007

An outstanding set of SEMATECH-led technical achievements and breakthroughs in EUVL are featured in nine papers from SEMATECH authors and seven partner papers involving lithographers from the global consortium. The research leading to these accomplishments took place at SEMATECH's facilities at the College of Nanoscale Science and Engineering (CNSE) in Albany, NY, and demonstrates the consortium's commitment to deliver cost-effective manufacturing solutions to its member companies and the semiconductor industry.

"SEMATECH continues to produce results that chip-makers need to show that EUVL is manufacturable," said Michael Lercel, Lithography director. "Here at the EUVL Symposium, we're showing practical results for mask blank defect reduction, more efficient optical system designs, effective reticle handling, and other areas that help drive cost, which is emphasized in our cost-of-ownership models."

"The significant advances made by SEMATECH researchers and engineers working at the UAlbany NanoCollege demonstrate SEMATECH's leadership in spurring next-generation advances in nanoelectronics, which is enhanced by its growing presence and partnership at CNSE's Albany NanoTech," said Dr. James G. Ryan, professor of nanoscience and associate vice president of technology at CNSE. "This represents another important step forward in the development and commercialization of EUVL technology, which is seen as vital to the future of nanoelectronics manufacturing."

Following is a sampling of advances revealed by SEMATECH technologists in papers being delivered at the symposium:

* The best EUV mask blank defect density in the world today - 0.1 per cm2 at 56 nm resolution - will be reported by Chan-Uk Jeon, program manager of the Mask Blank Development Center in Albany, NY. SEMATECH also has created a detailed database of the source of the defects and is developing mitigation methods, such as smoothing, to reduce pit defects. (This SEMATECH smoothing process has achieved an 800X improvement in defect levels.) SEMATECH's work likely will enable mask blanks to be ready for EUV beta tools in 2009.
* SEMATECH has achieved only five added defects at 56 nm sensitivity in EUV mask blank defect totals, according to a paper by Patrick Kearney, Member Technical Staff. This milestone was enabled with industry-leading 56 nm defect inspection sensitivity on multilayer coated blanks, and is rooted in SEMATECH's strategy of collaborating with suppliers to bring together all elements of the industry.
* A manufacturable EUVL reticle solution also requires defect-free reticle handling. EUVL reticle protection using SEMATECH's "sPod" design shows an average of less than one particle added per 100 separate reticle transfers, as explained in a paper by Long He, project engineer. Tests also show the sPod as a potential solution for shipping and storage.
* Using the industry's best EUV resist exposure capability, SEMATECH has demonstrated that effective resolution down to 24 nm can be achieved with current resists and optimized illumination conditions. These results are documented by Andy Ma, EUV resist bench project manager. Ma also describes remaining resist challenges, including linewidth roughness and photospeed.
* Current optical designs for EUV collectors are not very efficient, but SEMATECH has developed a series of upgrades that could boost collector efficiency by 2.8X. That would reduce the industry's critical dependence on high-power sources or improve tool throughput and cost-effectiveness. The designs are explained in a paper by Michael Goldstein, Senior Technologist.
* Detailed cost-of-ownership targets for EUVL - as determined by SEMATECH's detailed cost models - will be reported by Phil Seidel, Senior Member Technical Staff. These performance targets have been integrated into the consortium's technology development projects, and show that the key elements for cost-effective EUVL include source power, optics lifetime, and mask blank defect reduction.

"SEMATECH continues to move beyond theory and alpha concepts to deliver manufacturable EUV solutions for our member companies and the industry," Lercel said. "We are leading EUV mask blank development with the world's best defect inspection and characterization capability and multilayer deposition capability, and leading-edge imaging capability for EUV photoresists."

####

About SEMATECH
For 20 years, SEMATECH® ( http://www.sematech.org ) has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.

For more information, please click here

Contacts:
SEMATECH, Austin
Dan McGowan
12-356-3440

Copyright © Business Wire 2007

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

Chip Technology

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

HKUST researchers develop new integration technique for efficient coupling of III-V and silicon February 16th, 2024

Electrons screen against conductivity-killer in organic semiconductors: The discovery is the first step towards creating effective organic semiconductors, which use significantly less water and energy, and produce far less waste than their inorganic counterparts February 16th, 2024

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Events/Classes

Researchers demonstrate co-propagation of quantum and classical signals: Study shows that quantum encryption can be implemented in existing fiber networks January 20th, 2023

CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium: Invited paper reports 3-step characterization chain and resulting methodologies and metrics that accelerate learning, provide data on device pe June 17th, 2022

June Conference in Grenoble, France, to Explore Pathways to 6G Applications, Including ‘Internet of Senses’, Sustainability, Extended Reality & Digital Twin of Physical World: Organized by CEA-Leti, the Joint EuCNC and 6G Summit Sees Telecom Sector as an ‘Enabler for a Sustainabl June 1st, 2022

How a physicist aims to reduce the noise in quantum computing: NAU assistant professor Ryan Behunin received an NSF CAREER grant to study how to reduce the noise produced in the process of quantum computing, which will make it better and more practical April 1st, 2022

Printing/Lithography/Inkjet/Inks/Bio-printing/Dyes

Presenting: Ultrasound-based printing of 3D materials—potentially inside the body December 8th, 2023

Simple ballpoint pen can write custom LEDs August 11th, 2023

Disposable electronics on a simple sheet of paper October 7th, 2022

Newly developed technique to improve quantum dots color conversion performance: Researchers created perovskite quantum dot microarrays to achieve better results in full-color light-emitting devices and expand potential applications June 10th, 2022

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project