Nanotechnology Now

Our NanoNews Digest Sponsors
Heifer International



Home > Press > UAlbany Nanocollege reports breakthrough in production of exposed images using ASML EUV R&D lithography tool

Abstract:
Presentation at SPIE conference unveils development at CNSE's Albany NanoTech complex

UAlbany Nanocollege reports breakthrough in production of exposed images using ASML EUV R&D lithography tool

Albany, NY | Posted on March 1st, 2007

Less than six months after taking delivery of the world's first full-field extreme ultraviolet ("EUV") research and development tool ("ADT"), the College of Nanoscale Science and Engineering ("CNSE") of the University at Albany today announced that it has produced the world's first exposed images while using the tool.

The announcement came during a presentation at the International Society for Optical Engineering ("SPIE") Advanced Lithography 2007 conference in San Jose, CA.

The $65 million EUV ADT, developed by Netherlands-based ASML Holding NV ("ASML"), the leading global supplier of advanced lithography tools, will be essential in development of the infrastructure for EUV lithography, considered the most likely technology for insertion into manufacturing as early as the 32nm computer chip device node, based on cost-effectiveness and ability to extend to future nodes, according to ASML.

The production of images from the EUV ADT supports the R&D programs of the $600M International Venture for Nanolithography ("INVENT"), a global industry-university consortium for R&D, education and technology deployment for future generations of nanolithography applications. INVENT was created with critical support and enabling assistance from the New York State Assembly, led by Speaker Sheldon Silver, and includes the world's leading computer-chip manufacturers: Advanced Micro Devices ("AMD"), IBM, Micron Technology and Qimonda. Other global corporate partners of CNSE, including SONY and Toshiba, also participate in the CNSE EUV programs.

"This is an exciting development not only for the College of Nanoscale Science and Engineering, but also for the New York State Assembly. This groundbreaking achievement speaks volumes about the college's highly skilled team of scientists and technicians and the potential economic and job-development opportunities we can expect from the Assembly's investment in this revolutionary technology," said Assembly Speaker Sheldon Silver.

"This milestone is a testament to the leadership of Speaker Silver and Dr. Kaloyeros and it is especially rewarding for all of us in the Assembly Majority to be such an instrumental part of this innovative process that is sure to solidify Tech Valley's position as a leader driving the field of nanoscience," said Assembly Majority Leader Ron Canestrari.

"Today's successful demonstration of the EUV tool by the College of Nanoscale Science and Engineering holds much promise for our region of the state and its residents. We look forward to additional announcements on improving our economy and employment opportunities and building on today's impressive high-tech achievement," said Assemblyman Jack McEneny.

"This latest achievement of the College of Nanoscale Science and Engineering again confirms the status of the university and its governmental and private partners as worldwide leaders in nanoelctronics. In addition, it continues to demonstrate the critical movement from research to viable manufacturing, which confirms the viability of our investment," said Assemblyman Robert Reilly.

"The production of the world's first EUV images using the ASML R&D tool in a development environment is proof positive that the UAlbany NanoCollege and New York State are providing global leadership that is not only attracting the world's leading nanoelectronics companies and the industry's cutting-edge tools, but more importantly, allowing both to succeed," said Dr. Alain E. Kaloyeros, Vice President and Chief Administrative Officer of CNSE. "This breakthrough underscores the innovative research being conducted through a host of programs at CNSE, as typified by our global INVENT consortium, a vision of Speaker Silver, Assemblyman Canestrari, Assemblyman McEneny, Assemblyman Reilly and the New York Assembly, which is providing important scientific discovery, critical workforce education and training, and a strong lure to attract additional nanoelectronics jobs, companies and investment to New York State."

"The UAlbany NanoCollege has taken another step forward as the world's first educational and research institution to produce images with the EUV Alpha Demo Tool, in the process providing a critical advance toward the commercialization of EUV technology," said Dr. James Ryan, Professor of Nanoscience and Associate Vice President of Technology at CNSE. "Combined with the availability of EUV photomasks, ASML's earlier demonstration of operating wafer and reticle stages in a vacuum environment and the presence of a critical mass of nanoelectronics tool suppliers and chip manufacturers at CNSE, we look forward to leading the way in the development and commercialization of EUV technology."

ASML has a $400 million R&D center at the New York State Center of Excellence in Nanoelectronics and Nanotechnology at CNSE's Albany NanoTech complex - the International Multiphase Partnership for Lithography Science and Engineering ("IMPLSE") - designed to develop nanoscale lithography technologies for future generations of nanochips. The establishment of the ASML R&D Center represents ASML's only 300mm wafer R&D facility located outside of its main headquarters in the Netherlands.

####

About CNSE-University of Albany
The UAlbany CNSE is the first college in the world dedicated to research, development, education, and deployment in the emerging disciplines of nanoscience, nanoengineering, nanobioscience, and nanoeconomics. In May 2006, it was ranked as the nation’s number one college for nanotechnology and microtechnology in the Annual College Ranking by Small Times magazine. CNSE’s Albany NanoTech complex is the most advanced research facility of its kind at any university in the world: a $3.5 billion, 450,000-square-foot complex that attracts corporate partners from around the world and offers students a one-of-a-kind academic experience. The UAlbany NanoCollege houses the only fully-integrated, 300mm wafer, computer chip pilot prototyping and demonstration line within 65,000 square feet of Class 1 capable cleanrooms. Over 1,600 scientists, researchers, engineers, students, and faculty work on site at CNSE’s Albany NanoTech complex, including IBM, AMD, SONY, Toshiba, Qimonda, Honeywell, ASML, Applied Materials, Tokyo Electron, and Freescale. An expansion currently underway will increase the size of CNSE’s Albany NanoTech complex to over 750,000 square feet, including over 80,000 square feet of Class 1 cleanroom space, to house over 2,000 scientists, researchers, engineers, students, and faculty by the end of 2008.

For more information, please click here

Contacts:
Steve Janack
Director of Communications
(phone) 518-956-7322
(cell) 518-312-5009
(e-mail)

Copyright © University of Albany

If you have a comment, please Contact us.

Issuers of news releases, not 7th Wave, Inc. or Nanotechnology Now, are solely responsible for the accuracy of the content.

Bookmark:
Delicious Digg Newsvine Google Yahoo Reddit Magnoliacom Furl Facebook

Related News Press

Academic/Education

Rice University launches Rice Synthetic Biology Institute to improve lives January 12th, 2024

Multi-institution, $4.6 million NSF grant to fund nanotechnology training September 9th, 2022

National Space Society Helps Fund Expanding Frontier’s Brownsville Summer Entrepreneur Academy: National Space Society and Club for the Future to Support Youth Development Program in South Texas June 24th, 2022

How a physicist aims to reduce the noise in quantum computing: NAU assistant professor Ryan Behunin received an NSF CAREER grant to study how to reduce the noise produced in the process of quantum computing, which will make it better and more practical April 1st, 2022

Nanoelectronics

Interdisciplinary: Rice team tackles the future of semiconductors Multiferroics could be the key to ultralow-energy computing October 6th, 2023

Key element for a scalable quantum computer: Physicists from Forschungszentrum Jülich and RWTH Aachen University demonstrate electron transport on a quantum chip September 23rd, 2022

Reduced power consumption in semiconductor devices September 23rd, 2022

Atomic level deposition to extend Moore’s law and beyond July 15th, 2022

Discoveries

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Chemical reactions can scramble quantum information as well as black holes April 5th, 2024

New micromaterial releases nanoparticles that selectively destroy cancer cells April 5th, 2024

Utilizing palladium for addressing contact issues of buried oxide thin film transistors April 5th, 2024

Announcements

NRL charters Navy’s quantum inertial navigation path to reduce drift April 5th, 2024

Innovative sensing platform unlocks ultrahigh sensitivity in conventional sensors: Lan Yang and her team have developed new plug-and-play hardware to dramatically enhance the sensitivity of optical sensors April 5th, 2024

Discovery points path to flash-like memory for storing qubits: Rice find could hasten development of nonvolatile quantum memory April 5th, 2024

A simple, inexpensive way to make carbon atoms bind together: A Scripps Research team uncovers a cost-effective method for producing quaternary carbon molecules, which are critical for drug development April 5th, 2024

Tools

First direct imaging of small noble gas clusters at room temperature: Novel opportunities in quantum technology and condensed matter physics opened by noble gas atoms confined between graphene layers January 12th, 2024

New laser setup probes metamaterial structures with ultrafast pulses: The technique could speed up the development of acoustic lenses, impact-resistant films, and other futuristic materials November 17th, 2023

Ferroelectrically modulate the Fermi level of graphene oxide to enhance SERS response November 3rd, 2023

The USTC realizes In situ electron paramagnetic resonance spectroscopy using single nanodiamond sensors November 3rd, 2023

NanoNews-Digest
The latest news from around the world, FREE




  Premium Products
NanoNews-Custom
Only the news you want to read!
 Learn More
NanoStrategies
Full-service, expert consulting
 Learn More











ASP
Nanotechnology Now Featured Books




NNN

The Hunger Project